mainimage

Biography

Sumio Iijima  

Seiji Samukawa

(Chairperson, AFI/TFI-2008,
Institute of Fluid Science, Tohoku Universityj
 
Seiji Samukawa was born on April 1, 1959. He received B. Sc. in 1981 and Ph.D.in 1992 in applied physics from Keio University. He joined NEC Corporation in 1981, where he worked on plasma etching processes for ULSI device fabrication. In NEC Corporation, he was Principal Researcher of a group conducting fundamental research on advanced plasma etching processes for sub 0.1ƒΚm technology, built on damage-free high-performance etching techniques. Samukawa invented the method of pulse-time-modulated plasma processing (with pulse periods of a fewƒΚseconds ) in 1992 and investigated the method for various plasma etching systems. He has found that that pulsed plasma techniques are very effective in causing no charge-built-up damages due to the lower electron temperatures and generation of negative ions in any etching systems. The pulse plasma techniques have been implemented in actual production lines of NEC Corporation. For substrates larger than 12 inches in diameter, Samukawa also invented a new ultra-high frequency (UHF, 500MHz) plasma source with a spokewise antenna. Using the UHF plasma source, he demonstrated that sub-0.1ƒΚm high-performance gate electrodes and Al electrodes structures could be easily patterned. In addition, he also developed novel gas chemistry (alternative gas chemistry to PFC) for UHF plasmas and achieved damage-free and microloading-free high aspect contact hole patterning simultaneously with the new plasma chemistry.
In 2000 Samukawa joined the faculty of Institute of Fluid Science, Tohoku University, as a full professor and has worked since then on fundamental aspects of plasma etching, plasma CVD, and beam technologies for ULSI and other microelectronic devices. To achieve charge-free and radiation damage-free processes, he has developed a new neutral beam generation system based on his discovery that neutral beams can be efficiently generated from the acceleration of negative ions produced in pulsed plasmas. Using the neutral beam processing, he successfully demonstrated 50nm damage-free gate electrode etching, damage-free Si channel etching for fin-FETs, ultra-thin gate dielectric film deposition, damage-free low dielectric film deposition, and damage-less surface modification of carbon nanotubes. More recently he has investigated processing technologies based on the combination of biotechnology with neutral-beam-based nano-processes, i.e., bio-nano processes, for future microelectronics devices and successfully achieved the fabrication of 7nm high-aspect-ratio Si nanocolumns and sub-10-nm-diameter Si nanodisks. The quantum effects of these nano-scaled structures were shown to manifest themselves at room temperature due to the damage-free etched surfaces made possible by the neutral beam etching processes. Furthermore Samukawa has investigated pulse-time-modulated plasma techniques for precise etching and deposition processes for the manufacturing of highly sensitive CCD and MRAM products in actual production lines. He has also developed on-wafer monitoring and sensing systems for precise control of plasmas, beams, and atom manipulation processes on substrate surfaces. Using these systems, he characterized plasma-induced vacuum ultra-violet (VUV) irradiation on wafer surfaces during etching/deposition processes. With sufficient experimental data obtained from these techniques, he has recently proposed a UV irradiation and charge-accumulation damage prediction system by combining the on-wafer monitoring techniques and computer simulations.
In recognition of his excellent achievements outlined above, he has been elected as a gDistinguished Professorh of Tohoku University and also a gFellowh of the Japan Society of Applied Physics since 2008.
His significant scientific achievements earned him the Outstanding Paper Award in the International Conference on Micro and Nano-Technology (1997), Best Review Paper Award (2001), Japanese Journal of Applied Physics (JJAP) Editorial Contribution Award (2003), Plasma Electronics Award (2004), Fellow Award (2008), JJAP Paper Award (2008) in the Japan Society of Applied Physics, Distinguished Graduate Award (2005) in Keio University, and Ichimura Award (2008) in the New Technology Development Foundation.
He has also been highly active in international academic organizations, such as American Vacuum Society (AVS) and Gaseous Electronics Conference (GEC). He served successively the AVS program committee (1997-1999), International Vacuum Congress program committee (1999-2001), AVS executive committee (2005-2007), and GEC executive committee (1995-1997). He is currently an Editorial Board Member of the Journal of Physics D, Vice-chairman of the Steering Committee of the 2008 International Conference on Solid State Devices and Materials, Vice-chairman of the Steering Committee of the 2008 International Conference on Dry Process.

 

page top

 

footer