寒川誠二 業績一覧

 

2023-11-22
  ◆ORCID iD:0000-0003-4971-3290
  ◆Researchmap
  1. 学術論文
  2. 学位論文
  3. 総説
  4. 著書
  5. 国際会議講演論文(一般講演、招待講演)
  6. 国内学会
  7. 大学での特別講義講演
  8. 論文賞、学会賞、その他受賞
  9. 登録特許リスト
    1. 日本国内
    2. 米国特許
  10. 外部組織との関わり
    1. 共同研究
    2. 学会役員/各種委員

 

(1) 学術論文

  1. Seiji Samukawa, Damage caused by stored charge during ECR plasma etching, The Transactions of The IEICE, Vol. E72 (1989) pp. 315-317.
  2. Seiji Samukawa, Damage caused by RF oxygen plasma asher, Japanese Journal of Applied Physics, Vol. 28 (1989) pp. L1467-L1469, IF=1.384.
  3. Seiji Samukawa, Sumio Mori and Masami Sasaki, Dependence of ECR plasma characteristics on submagnetic field and substrate position, Japanese Journal of Applied Physics, Vol. 29 (1990) pp. 792-797, IF=1.384.
  4. Seiji Samukawa, Damage caused by stored charge during ECR plasma etching, Japanese Journal of Applied Physics, Vol. 29 (1990) pp. 980-985, IF=1.384.
  5. Seiji Samukawa, Yasuhiro Suzuki and Masami Sasaki, Extremely high selective n+ poly-Si ECR plasma etching at ECR position, Applied Physics Letters, Vol. 57 (1990) pp. 403-405, IF=3.411.
  6. Seiji Samukawa, Masami Sasaki and Yasuhiro Suzuki, Perfect selective and high rate ECR plasma etching for WSix/poly-Si at ECR position, Journal of Vacuum Science and Technology B, Vol. 8 (1990) pp. 1062-1067, IF=1.573.
  7. Seiji Samukawa, Masami Sasaki and Yasuhiro Suzuki, Extremely selective, highly anisotropic and high rate ECR plasma etching for n+ poly-Si at ECR position, Journal of Vacuum Science and Technology B, Vol. 8 (1990) pp. 1192-1198, IF=1.573.
  8. Seiji Samukawa, Sumio Mori and Masami Sasaki, Ion current density and uniformity at the ECR position in ECR plasma, Journal of Vacuum Science and Technology A, Vol. 9 (1991) pp. 85-90, IF=1.374.
  9. Seiji Samukawa, Yukito Nakagawa and Kei Ikeda, Ion energy distributions at ECR position in the ECR plasma, Japanese Journal of Applied Physics, Vol. 29 (1991) pp. L2319-L2321, IF=1.384.
  10. Seiji Samukawa, Tomohiko Toyosato and Etsuo Wani, Low RF biased ECR plasma etching, Applied Physics Letters, Vol. 58 (1991) pp. 896-898, IF=3.411.
  11. Seiji Samukawa, Tomohiko Toyosato and Etsuo Wani, 400 kHz RF biased ECR plasma etching for Al-Si-Cu patterning, Journal of Vacuum Science and Technology B, Vol. 9 (1991) pp. 1471-1477, IF=1.573.
  12. Seiji Samukawa, Yukito Nakagawa and Kei Ikeda, Ion current density and ion energy distributions at ECR position in ECR plasma, Japanese Journal of Applied Physics, Vol. 30 (1991) pp. 423-427, IF=1.384.
  13. Seiji Samukawa and Tsuyoshi Nakamura, Dependence of ECR plasma characteristics on magnetic field profiles, Japanese Journal of Applied Physics, Vol. 30 (1991) pp. L1330-L1332, IF=1.384.
  14. Seiji Samukawa, Dependence of gate oxide breakdown frequency on ion current density distributions during ECR plasma etching, Japanese Journal of Applied Physics, Vol. 30 (1991) pp. L1902-L1904, IF=1.384.
  15. Seiji Samukawa and Tsuyoshi Nakamura, Dependence of ECR plasma characteristics on magnetic field profiles, Japanese Journal of Applied Physics, Vol. 30 (1991) pp. 3147-3153, IF=1.384.
  16. Seiji Samukawa, 400 kHz RF biased ECR position etching, Japanese Journal of Applied Physics, Vol. 30 (1991) pp. 3154-3158, IF=1.384.
  17. Seiji Samukawa, Tsuyoshi Nakamura and Akihiko Ishitani, Dependence of ECR plasma characteristics on induced microwave conditions, Japanese Journal of Applied Physics, Vol. 31 (1992) pp. L594-L596, IF=1.384.
  18. Seiji Samukawa, Tsuyoshi Nakamura, Toshinori Ishida and Akihiko Ishitani, Uniform ECR plasma generation for precise ULSI patterning, Japanese Journal of Applied Physics, Vol. 31 (1992) pp. L774-L776, IF=1.384.
  19. Seiji Samukawa, Tsuyoshi Nakamura, Toshinori Ishida and Akihiko Ishitani, Optimally stable ECR plasma generation for precise ULSI patterning and essential points for compact ECR plasma source, Japanese Journal of Applied Physics, Vol. 31 (1992) pp. 4348-4356, IF=1.384.
  20. Tsuyoshi Nakamura, Seiji Samukawa, Toshinori Ishida, Akihiko Ishitani and Yutaka Kawase, Multi-coil system for ECR plasma generation, Japanese Journal of Applied Physics, Vol. 31 (1992) pp. 4343-4347, IF=1.384.
  21. Seiji Samukawa, Wave propagation and plasma uniformity in ECR plasma, Journal of Vacuum Science and Technology A, Vol. 11 (1993) pp. 2572-2576, IF=1.374.
  22. Seiji Samukawa and Shuichi Furuoya, Polymerization for highly selective SiO2 plasma etching, Japanese Journal of Applied Physics, Vol. 32 (1993) pp. L1289-L1292, IF=1.384.
  23. Seiji Samukawa and Shuichi Furuoya, Time-modulated ECR plasma discharge for controlling generation of reactive species, Applied Physics Letters, Vol. 63 (1993) pp. 2044-2046, IF=3.411.
  24. Seiji Samukawa, Time-modulated ECR plasma discharge for controlling the polymerization in SiO2 etching, Japanese Journal of Applied Physics, Vol. 32 (1993) pp. 6080-6087, IF=1.384.
  25. Seiji Samukawa, ECR plasma etching technology for ULSI, Materials Science Forum, Vol. 140-142 (1993) pp. 521-530.
  26. Seiji Samukawa, Advanced ECR plasma etching technology for precise ULSI patterning, Journal of Vacuum Science and Technology B, Vol. 12 (1994) pp. 112-115, IF=1.573.
  27. Seiji Samukawa, Highly selective and highly anisotropic SiO2 etching in pulse-time modulated ECR plasma, Japanese Journal of Applied Physics, Vol. 33 (1994) pp. 2133-2138, IF=1.384.
  28. Seiji Samukawa, Pulse-time-modulated ECR plasma etching for highly selective, highly anisotropic, and notch-free poly-Si patterning, Applied Physics Letters, Vol. 64 (1994) pp. 3398-3400, IF=3.411.
  29. Seiji Samukawa and Kazuo Terada, Pulse-time-modulated ECR plasma etching for highly selective, highly anisotropic, and less-charging poly-Si patterning, Journal of Vacuum Science and Technology B, Vol. 12 (1994) pp. 3300-3305, IF=1.573.
  30. Tetsu Mieno and Seiji Samukawa, Time variation of plasma properties in a pulse-time-modulated ECR discharge of Cl2 gas, Japanese Journal of Applied Physics, Vol. 34 (1995) pp. L1079-L1082, IF=1.384.
  31. Seiji Samukawa, Yukito Nakagawa, Tsutomu Tsukada, Hiroyuki Ueyama and Kibatsu Shinohara, Low-temperature, uniform, and high-density plasma produced by a new UHF discharge with a spokewise antenna, Applied Physics Letters, Vol. 67 (1995) pp. 1414-1416, IF=3.411.
  32. Seiji Samukawa, Yukito Nakagawa, Tsutomu Tsukada, Hiroyuki Ueyama and Kibatsu Shinohara, A new UHF plasma discharge for large-scaled etching processes, Japanese Journal of Applied Physics, Vol. 34 (1995) pp. 6805-6808, IF=1.384.
  33. Seiji Samukawa, Pulse-time modulated ECR plasma etching with low frequency bias, Applied Physics Letters, Vol. 68 (1996) pp. 316-318, IF=3.411.
  34. Yukihiro Ochiai, Shouko Manako, Seiji Samukawa, Kiyoshi Takeuchi and Toyoji Yamamoto, Accurate Nano-EB Lithography for 40-nm Gate MOSFETs, Microelectronic Engineering, Vol. 30 (1996) pp. 415-418, IF=1.806.
  35. Seiji Samukawa and Tetsu Mieno, Pulse-time modulated plasma etching for overcoming limitation of etching processes, Plasma Sources Science and Technology, Vol. 5 (1996) pp. 132-138, IF=3.302.
  36. Toshiki Nakano, Hiroto Ohtake and Seiji Samukawa, Ion and neutral temperature in a novel UHF discharge plasma, Japanese Journal of Applied Physics, Vol. 35 (1996) pp. L338-L340, IF=1.384.
  37. Seiji Samukawa and Toshiki Nakano, New UHF plasma discharge for overcoming limitation of etching processes, Journal of Vacuum Science and Technology A, Vol. 14 (1996) pp. 1002-1006, IF=1.374.
  38. Hiroto Ohtake and Seiji Samukawa, Charge-free etching using both positive and negative ions in pulse-time modulated ECR plasma with low frequency bias, Applied Physics Letters, Vol. 68 (1996) pp. 2416-2417, IF=3.411.
  39. Ayumi Yokozawa, Hiroto Ohtake and Seiji Samukawa, Simulation for bulk plasma in a pulse time-modulated plasma, Japanese Journal of Applied Physics, Vol. 35 (1996) pp. 2433-2439, IF=1.384.
  40. Seiji Samukawa, Hiroto Ohtake and Tsutomu Tsukada, Effects of supplied bias frequency in UHF plasma discharge for precise etching processes, Journal of Vacuum Science and Technology A, Vol. 14 (1996) pp. 3004-3009, IF=1.374.
  41. Seiji Samukawa and Tsutomu Tsukada, Effects of electron temperature in high density Cl2 plasma for precise etching processes, Applied Physics Letters, Vol. 69 (1996) pp. 1056-1058, IF=3.411.
  42. Seiji Samukawa, Hiroto Ohtake and Tetsu Mieno, Pulse-time-modulated ECR plasma discharge for highly selective, highly anisotropic and charge-free etching, Journal of Vacuum Science and Technology A, Vol. 14 (1996) pp. 3049-3058, IF=1.374.
  43. Yukito Nakagawa, Seiji Samukawa, Hiroyuki Ueyama, Kibatsu Shinohara and Tsutomu Tsukada, New plasma source with an UHF (500MHz) antenna, Thin Solid Films, Vol. 281/282 (1996) pp. 169-171, IF=1.879.
  44. Seiji Samukawa, Hiroto Ohtake and Tetsu Mieno, Pulse-time-modulated ECR plasma discharge for highly selective, highly anisotropic and charge-free etching, NEC research and development, Vol. 37 (1996) pp. 179-190.
  45. Yukihiro Ochiai, Shouko Manako, Seiji Samukawa, Kiyoshi Takeuchi and Toyoji Yamamoto, Nano-electron beam lithography system and its application for 40-nm gate MOSFETs, NEC research and development, Vol. 37 (1996) pp. 160-169.
  46. Seiji Samukawa and Toshiki Nakano, New UHF plasma source for large scale etching processes, NEC research and development, Vol. 37 (1996) pp. 317-324.
  47. Seiji Samukawa and Tsutomu Tsukada, Effect of a multiple-cusp magnetic field on electron confinement in pulse-time-modulated plasma, Applied Physics Letters, Vol. 69 (1996) pp. 3330-3332, IF=3.411.
  48. Tetsu Mieno and Seiji Samukawa, Generation and extinction characteristics of negative ions in pulse-time-modulated ECR Cl2 plasma, Plasma Sources Science and Technology, Vol. 6 (1997) pp. 398-404, IF=3.302.
  49. Seiji Samukawa and T. Tsukada, Essential points for precise etching in pulse-time-modulated UHF plasma, Journal of Vacuum Science and Technology A, Vol. 15 (1997) pp. 643-646, IF=1.374.
  50. Toshiki Nakano and Seiji Samukawa, Doppler-shifted laser-induced fluorescence diagnostics of an UHF discharge plasma, Japanese Journal of Applied Physics, Vol. 36 (1997) pp. 4597-4600, IF=1.384.
  51. Seiji Samukawa and Tsutomu Tsukada, Effects of discharge frequency on the ion-current density and etching characteristics in high-density plasmas, Japanese Journal of Applied Physics, Vol. 36 (1997) pp. L1354-L136, IF=1.384.
  52. Haruaki Akashi, Seiji Samukawa, Nobuki Takahashi and Tai Sakai, Dependence of frequency and pressure on electron energy distribution function in low-pressure plasma, Japanese Journal of Applied Physics, Vol. 36 (1997) pp. L877-L879, IF=1.384.
  53. Seiji Samukawa and Tsutomu Tsukada, Dependence of electron energy distributions on discharge pressure in UHF and ICP plasmas, Japanese Journal of Applied Physics, Vol. 36 (1997) pp. 7646-7649, IF=1.384.
  54. Toshiki Nakano and Seiji Samukawa, The correlation between an electric field and the metastable chlorine ion density distributions in an UHF plasma, Japanese Journal of Applied Physics, Vol. 37 (1998) pp. 2686-2692, IF=1.384.
  55. Tsutomu Tsukada, Hiroshi Nogami, Yukito Nakagawa, Etsuo Wani, Kimiko Mashiro, and Seiji Samukawa, SiO2 etching using high-density plasma sources, Thin Solid Films, Vol. 341 (1999) pp. 84-90, IF=1.879.
  56. Toshiki Nakano and Seiji Samukawa, Radial distributions of ion velocity, temperature, and density in UHF, ICP and ECR, Journal of Vacuum Science and Technology A, Vol. 16 (1998) pp. 2065-2072, IF=1.374.
  57. Hiroto Ohtake, Seiji Samukawa, Hirokazu Oikawa and Yasunobu Nashimoto, Enhancement of reactivity in Au etching by pulse-time-modulated Cl2 plasma, Japanese Journal of Applied Physics, Vol. 37 (1998) pp. 2311-2313, IF=1.384.
  58. Seiji Samukawa, and Haruaki Akashi, Effects of discharge frequency on plasma characteristics in high density Cl2 plasma, IEEE Transactions on Plasma Science, Vol. 26 (1998) pp. 1621-1627, IF=1.052.
  59. 中川行人, 和仁悦夫, 佐藤明久, 寒川誠二, 塚田勉, マルチウインドウ型アンテナを用いた極超短波プラズマ源, 真空, Vol. 41 (1998) pp. 307-310.
  60. 寒川誠二, 三重野哲, パルス変調プラズマとプラズマエッチングへの展開, 電気学会論文誌A, Vol. 118-A (1998) pp. 916-923.
  61. Mikhail V. Malyshev, Vincent M. Donnelly and Seiji Samukawa, Comparison of Cl and Cl2 concentrations and electron temperatures measured by trace rare gases optical emission spectroscopy, Journal of Applied Physics, Vol. 84 (1998) pp. 1222-1230, IF=2.068.
  62. Seiji Samukawa and Vincent M. Donnelly, Effects of Degree of Dissociation on Al etching Characteristics in high density Cl2 plasma, Japanese Journal of Applied Physics, Vol. 37 (1998) pp. L1036-L1038, IF=1.384.
  63. Seiji Samukawa and Ken-ichiro Tsuda, New radical control method for SiO2 etching with non-perfluorocompound gas chemistries, Japanese Journal of Applied Physics, Vol. 37 (1998) pp. L1095-L1097, IF=1.384.
  64. Toshiki Nakano and Seiji Samukawa, Effects of Ar dilution on the optical emission spectra of fluorocarbon ultra-high frequency plasmas, Journal of Vacuum Science and Technology A, Vol. 17 (1999) pp. 686-691, IF=1.374.
  65. Seiji Samukawa and Toshiki Nakano, Effects of rare gas dilution on dissociation and ionization in fluorocarbon gas plasma, Journal of Vacuum Science and Technology A, Vol. 17 (1999) pp. 500-505, IF=1.374.
  66. Seiji Samukawa, Degree of Cl2 dissociation and etching characteristics in high-density plasmas, Journal of Vacuum Science and Technology A, Vol. 17 (1999) pp. 774-779, IF=1.374.
  67. Ayumi Yokozawa and Seiji Samukawa, Simulation for variations in the negative ion density in a pulse time-modulated Cl2 plasma, Japanese Journal of Applied Physics, Vol. 38 (1999) pp. 4473-4477, IF=1.384.
  68. Seiji Samukawa, Tomonori Mukai and Ken-ichiro Tsuda, New radical-control method for high performance SiO2 etching with non-perfluorocarbon gas chemistries, Journal of Vacuum Science and Technology A, Vol. 17 (1999) pp. 2551-2556, IF=1.374.
  69. Seiji Samukawa, Tomonori Mukai and Ko Noguchi, New gas chemistries for high-performance and chargeless dielectric etchings, Materials Science in Semiconductor Processing, Vol. 2 (1999) pp. 203-208, IF=2.359.
  70. Seiji Samukawa and Tomonori Mukai, Differences of radical generation on chemical bonding of gas molecule in high-density fluorocarbon plasma, Journal of Vacuum Science and Technology A, Vol. 17 (1999) pp. 2463-2466, IF=1.374.
  71. Bencherki Mebarki, Shigeki Sumiya, Ryohei Yoshida, Masafumi Ito, Masaru Hori, Toshio Goto, Seiji Samukawa and Tsutomu Tsukada, Polycrystalline Film Formation at Low Temperature using Ultra-high Frequency Plasma System, Materials Letters, Vol. 41 (1999) pp. 16-19, IF=2.572.
  72. Mikhail V. Malyshev, Vincent M. Donnelly, Jennifer I. Colonell and Seiji Samukawa, Dynamics of Pulsed-power Chlorine Plasmas, Journal of Applied Physics, Vol. 86 (1999) pp. 4813-4820, IF=2.068.
  73. Seiji Samukawa and Tomonori Mukai, High-Performance Silicon Dioxide Etching for Less Than 0.1μm High-Aspect-Contact-Holes, Journal of Vacuum Science and Technology B, Vol. 18 (2000) pp. 166-171, IF=1.573.
  74. Seiji Samukawa, Ko Noguchi, Jennifer I. Colonell, Katherine H. A. Bogart, Mikhail V. Malyshev, and Vincent M. Donnelly, Reduction of Plasma Induced Damage in an Inductively Coupled Plasma Using Pulsed Source Power, Journal of Vacuum Science and Technology B, Vol. 18 (2000) pp. 834-840, IF=1.573.
  75. Seiji Samukawa, Vincent M. Donnelly and Mikahail V. Malyshev, Effects of Discharge Frequency in Plasma Etching and UHF Plasma Source for High-Performance Etching for ULSIs, Japanese Journal of Applied Physics, Vol. 39 (2000) pp. 1583-1596, IF=1.384.
  76. Shigeaki Sumiya, Yuko Mizutani, Ryohei Yoshida, Masaru Hori, Toshio Goto, Masafumi Ito, Tsutomu Tsukada and Seiji Samukawa, Plasma Diagnostics and Low Temperature Deposition of Microcrystalline Silicon Films in UHF Silane Plasma, Journal of Applied Physics, Vol. 88 (2000) pp. 576-588, IF=2.068.
  77. Seiji Samukawa, High-performance and Damage-free Plasma Etching Processes for Future ULSI Patterning, Microelectronic Engineering, Vol. 53 (2000) pp. 69-76, IF=1.806.
  78. Toshiki Nakano and Seiji Samukawa, Electron Population above 13.5eV in UHF and Inductively Coupled Plasmas through C2F4/CF3I and C4F8/Ar, Journal of Vacuum Science and Technology B, Vol. 18 (2000) pp. 2774-2779, IF=1.573.
  79. Seiji Samukawa and Tomonori Mukai, Effects of Low-Molecular-Weight-Radicals for Reduction of Microloading in Fluorocarbon Plasmas in High-Aspect-Contact-Hole Etching, Thin Solid Films, Vol. 374 (2000) pp. 235-242, IF=1.879.
  80. Ko Noguchi, Seiji Samukawa, Hiroto Ohtake and Tomonori Mukai, Characterization of Process-Induced Charging Damage in Scaled-Down Devices and Reliability Improvement using TM Plasma, Journal of Vacuum Science and Technology A, Vol. 18 (2000) pp. 1431-1436, IF=1.374.
  81. Hiroto Ohtake, Ko Noguchi, Seiji Samukawa, Hidekazu Iida, Arthur Sato and Xue-yu Qian, Pulse-time-modulated Inductively Coupled Plasma Etching for High-performance Poly-Si Patterning on Thin Gate Oxides, Journal of Vacuum Science and Technology B, Vol. 18 (2000) pp. 2495-2499, IF=1.573.
  82. Kazuya Murata, Yuko Mizutani, Emi Iwasaka, Seigou Takashima, Masaru Hori, Toshio Goto, Seiji Samukawa and Tsutomu Tsukada, Growth of Preferentially Oriented Microcrystalline Silicon Film using Pulse-Modulated UHF Plasma, Japanese Journal of Applied physics, Vol. 40 (2001) pp. L4-L66, IF=1.384.
  83. Seiji Samukawa, Keisuke Sakamoto and Katsunori Ichiki, High-performance Neutral Beam Generation using Inductively Coupled Plasma, Japanese Journal of Applied Physics, Vol. 40 (2001) pp. L779-L782, IF=1.384.
  84. Seiji Samukawa, Keisuke Sakamoto and Katsunori Ichiki, High-Efficiency Low Energy Neutral Beam Generation Using Negative Ions in Pulsed Plasma, Japanese Journal of Applied Physics, Vol. 40 (2001) pp. L997-L999, IF=1.384.
  85. Seiji Samukawa, Yasushi Ishikawa, Shinya Kumagai and Mitsuru Okigawa, On-wafer Monitoring of Vacuum-ultraviolet Radiation Damage in High-density Plasma Processes, Japanese Journal of Applied Physics, Vol. 40 (2001) pp. L1346-L1348, IF=1.384.
  86. Seiji Samukawa, Keisuke Sakamoto and Katsunori Ichiki, Generating High-efficiency Neutral Beams by Using Negative Ions in an Inductively Coupled Plasma Source, Journal of Vacuum Science and Technology A, Vol. 20 (2002) pp. 1566-1573, IF=1.374.
  87. Hiroto Ohtake and Seiji Samukawa, Charging-Damage-Free and Precise Dielectric Etching in Pulsed C2F4/ CF3I plasma, Journal of Vacuum Science and Technology B, Vol. 20 (2002) pp. 1026-1030, IF=1.573.
  88. Toshiki Nakano, Shinya Kumagai and Seiji Samukawa, Estimation of Dissociation Degree of N2 in an Inductively Coupled Plasma by VUV Emission Spectroscopy, Journal of Applied Physics, Vol. 92 (2002) pp. 2990-2995, IF=2.068.
  89. Tadashi Shimmura, Shinnosuke Soda, Mitsumasa Koyanagi, Kazuhiro Hane and Seiji Samukawa,, Electrical Conductivity of Sidewall-Deposited Fluorocarbon Polymer in SiO2 Etching Processes, Journal of Vacuum Science and Technology B, Vol. 20 (2002) pp. 2346-2350, IF=1.573.
  90. Shojiro Komatsu, Keiji Kurashima, Hisao Kanda, Katsuyuki Okada, Yusuke Moriyoshi, Yoshiki Shimizu, Masaharu Shiratani, Toshiki Nakano and Seiji Samukawa, Highly Crystalline 5H-Polytype of SP3-Bonded Boron Nitride Prepared by Plasma-Packets-Assisted Pulsed-Laser Deposition: An Ultraviolet Light Emitter at 225nm, Applied Physics Letters, Vol. 81 (2002) pp. 4547-4549, IF=3.411.
  91. Kitajima M, Okamoto M, Sunohara K, Tanaka H, Cho H, Samukawa S, Eden S, Mason NJ, Low-energy electron impact elastic and inelastic scattering from CF3I, Journal of Physics B: Atomic Molecular and Optical Physics, Vol. 35 (2002) pp. 3257-3263, IF=1.792.
  92. Seiji Samukawa, Development of high-density plasma reactor for high-performance processing and future prospects, Applied Surface Science, Vol. 192 (2002) pp. 216-243, IF=3.387.
  93. Mason NJ, Limao Vieira P, Eden S, Kendall P, Pathak S, Dawes A, Tennyson J, Tegeder P, Kitajima M, Okamoto M, Sunohara K, Tanaka H, Cho H, Samukawa S, Hoffmann SV, Newnham D, Spyrou SM,, VUV and low energy electron impact study of electronic state spectroscopy of CF3I, International Journal of Mass Spectrometry, Vol. 223 (2003) pp. 647-660, IF=1.702.
  94. Mitsuru Okigawa, Yasushi Ishikawa, and Seiji Samukawa, Plasma-Radiation-Induced Interface States in Metal-Nitride-Oxide-Silicon Structure of CCD Image Sensor and Their Reduction using Pulse-Time-Modulated Plasma, Japanese Journal of Applied Physics, Vol. 42 (2003) pp. 2444-2450, IF=1.384.
  95. Seiji Samukawa, Youichi Minemura, and Seiichi Fukuda, Ultrathin Oxynitride Films Formed by using Pulse-Time-Modulated Nitrogen Beams, Japanese Journal of Applied Physics, Vol. 42 (2003) pp. L795-L797, IF=1.384.
  96. Seiji Samukawa, Shinya Kumagai and Toshiaki Shiroiwa, Highly Anisotropic and Corrosionless PtMn Etching using Pulse-Time-Modulated Chlorine Plasma, Japanese Journal of Applied Physics, Vol. 42 (2003) pp. L1272-L1274, IF=1.384.
  97. Hiroto Ohtake, Hiroyuki Ishikawa, Takashi Fuse, Akira Koshiishi, Seiji Samukawa, Highly Selective and High Rate SiO2 Etching Using Argon-added C2F4/CF3I Plasma, Journal of Vacuum Science and Technology B, Vol. 21 (2003) pp. 2142-2146, IF=1.573.
  98. Mitsuru Okigawa, Yasushi Ishikawa and Seiji Samukawa, Reduction of UV Radiation Damage in SiO2 using Pulse-Time-Modulated Plasma and Its Application to CCD Image Sensor Processes, Journal of Vacuum Science and Technology B, Vol. 21 (2003) pp. 2448-2454, IF=1.573.
  99. Seiji Samukawa, Yoichi Minemura and Seiichi Fukuda, Control of nitrogen depth profile in ultrathin oxynitride films formed by pulse-time-modulated nitrogen beams, Journal of Vacuum Science and Technology A, Vol. 22 (2004) pp. 245-249, IF=1.374.
  100. Tadashi Shimmura, Shinnosuke Soda, Mitsumasa Koyanagi, Kazuhiro Hane and Seiji Samukawa, Mitigation of accumulated electric charge by deposited fluorocarbon film during SiO2 etching, Journal of Vacuum Science and Technology A, Vol. 22 (2004) pp. 433-436, IF=1.374.
  101. Tadashi Shimmura, Shinnosuke Soda, Mitsumasa Koyanagi, Kazuhiro Hane and Seiji Samukawa, Effects of fluorocarbon gas species on electrical conductivity and chemical structure of deposited polymer in SiO2 etchings processes, Journal of Vacuum Science and Technology B, Vol. 22 (2004) pp. 533-538, IF=1.573.
  102. Tomohiro Kubota, Tomohiro Baba, Hiroyuki Kawashima, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita and Seiji Samukawa, A 7nm-Nanocolum Structure Fabricated by Using a Ferritin Iron-Core Mask and Low Energy Cl Neutral Beams, Applied Physics Letters, Vol. 84 (2004) pp. 1555-1557, IF=3.411.
  103. Shinya Kumagai, Toshiaki Shiroiwa and Seiji Samukawa, Reactive Platinum-Manganese Etching using Pulse-Time-Modulate Chlorine Plasma and Post-Corrosion Treatment, Journal of Vacuum Science and Technology A, Vol. 22 (2004) pp. 1093-1100, IF=1.374.
  104. Shuichi Noda, Hiromoto Nishimori, Tohru Iida, Tsunetoshi Arikado, Katsunori Ichiki, Takuya Ozaki and Seiji Samukawa, 50nm Gate Electrode Patterning Using A Neutral-Beam Etching System, Journal of Vacuum Science and Technology A, Vol. 22 (2004) pp. 1506-1512, IF=1.374.
  105. Mitsuru Okigawa, Yasushi Ishikawa, Yoshinori Ichihashi and Seiji Samukawa, Ultraviolet-induced Damage in Fluorocarbon Plasma and Its Reduction by Pulse-time-modulated Plasma in CCD Image Sensor Wafer Processes, Journal of Vacuum Science and Technology B, Vol. 22 (2004) pp. 2818-2822, IF=1.573.
  106. Mitsuru Okigawa, Yasushi Ishikawa and Seiji Samukawa, On-wafer Monitoring of Plasma-induced Electrical Current in Silicon Dioxide to Predict Plasma Radiation Damage, Journal of Vacuum Science and Technology B, Vol. 23 (2005) pp. 173-177, IF=1.573.
  107. Hiroto Ohtake, Nobuhiko Inoue, Takuya Ozaki and Seiji Samukawa, Highly Selective Low-damage Processes using Advanced Neutral Beams for Low-k Films, Journal of Vacuum Science and Technology B, Vol. 23 (2005) pp. 210-216, IF=1.573.
  108. Tomohiro Kubota, Tomohiro Baba, Hiroyuki Kawashima, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita and Seiji Samukawa, Study of neutral-beam etching conditions for the fabrication of 7-nm-diameter nanocolumn structures using ferritin iron-core masks, Journal of Vacuum Science and Technology B, Vol. 23 (2005) pp. 534-539, IF=1.573.
  109. Yasushi Ishikawa, Mitsuru Okigawa, and Seiji Samukawa, Reduction of plasma-induced damage in SiO2 films during pulse-time-modulated plasma irradiation, Journal of Vacuum Science and Technology B, Vol. 23 (2005) pp. 389-394, IF=1.573.
  110. Kenji Ishikawa, Mitsuru Okigawa, Yasushi Ishikawa, and Seiji Samukawa, In Vacuo Measurements of Dangling Bonds Created During Ar-Diluted Fluorocarbon Plasma Etching of Si Dioxide Films, Applied Physics Letters, Vol. 86 (2005) pp. 264104 (pp), IF=3.411.
  111. Shuichi Noda, Yasuyuki Hoshino, Takuya Ozaki and Seiji Samukawa, Highly Anisotropic Gate Electrode Patterning in Neutral Beam Etching Using F2 Gas Chemistry, Journal of Vacuum Science and Technology B, Vol. 23 (2005) pp. 2063-2068, IF=1.573.
  112. Yasushi Ishikawa, Yuji Katoh, Mitsuru Okigawa, and Seiji Samukawa, Prediction of ultraviolet-induced damage during plasma processes in dielectric films using on-wafer monitoring techniques, Journal of Vacuum Science and Technology A, Vol. 23 (2005) pp. 1509-1512, IF=1.374.
  113. Magdy Hussein Mourad, Kentaro Totsu, Shinya Kumagai, Seiji Samukawa and Masayoshi Esashi, Electron Emission from Indium Tin Oxide/Silicon Monoxide/Gold Structure, Japanese Journal of Applied Physics, Vol. 44 (2005) pp. 1414-1418, IF=1.384.
  114. Kazuhiko Endo, Shuichi Noda, Meishoku Masahara, Tomohiro Kubota, Takuya Ozaki, Seiji Samukawa, Yongxun Liu, Kenichi Ishii, Yuki Ishikawa, Etsuro Sugimata, Takashi Matsukawa, Hidenori Takashima, Hiromi, Yamauchi and Eiichi Suzuki, Fabrication of a Vertical-Channel Double-Gate MOSFET Using a Neutral Beam Etching, Japanese Journal of Applied Physics, Vol. 45 (2006) pp. L279-L281, IF=1.384.
  115. Kazuhiko Endo, Shuichi Noda, Takuya Ozaki, Seiji Samukawa, Meishoku Masahara, Yongxun Liu, Kenichi Ishii, Etsuro Sugimata, Takashi Matsukawa, Hiromi Yamauchi, Yuki Ishikawa and Eiichi Suzuki, New fabrication technology of fin field effect transistors using neutral-beam etching, Japanese Journal of Applied Physics, Vol. 45 (2006) pp. 5513-5516, IF=1.384.
  116. Seiji Samukawa, Ultimate Top-down Etching Processes for Future Nanoscale Devices, Japanese Journal of Applied Physics, Vol. 45 (2006) pp. 2395-2407, IF=1.384.
  117. Kenji Ishikawa, Yoshikazu Yamada, Moritaka Nakamura, Yuichi Yamazaki, Satoshi Yamazaki, Yasushi Ishikawa and Seiji Samukawa, Surface Reactions during Etching of Organic Low-k Films by Plasmas of N2 and H2, Journal of Applied Physics, Vol. 99 (2006) pp. 083305 (pp), IF=2.068.
  118. Tomonori Mukai, Hiromitsu Hada, Shuichi Tahara, Hiroaki Yoda, and Seiji Samukawa, High-Performance and Damage-Free Magnetic Film Etching Using Pulse-Time Modulated Cl2 Plasma, Japanese Journal of Applied Physics, Vol. 45 (2006) pp. 5542-5545, IF=1.384.
  119. Shuichi Noda, Takuya Ozaki and Seiji Samukawa, Damage-free MOS Gate Electrode Patterning on Thin HfSiON Film Using Neutral Beam Etching, Journal of Vacuum Science and Technology A, Vol. 24 (2006) pp. 1414-1420, IF=1.374.
  120. Kazuhiko Endo, Shuichi Noda, Meishoku Masahara, Tomohiro Kubota, Takuya Ozaki, Seiji Samukawa, Yongxun Liu, Kenichi Ishii, Yuki Ishikawa, Etsuro Sugimata, Takashi Matsukawa, Hidenori Takashima, Hiromi Yamauchi, and Eiichi Suzuki, Fabrication of FinFET by Damage-free Neutral Beam Etching Technology, IEEE Transactions on Electron Devices, Vol. 53 (2006) pp. 1826-1833, IF=2.605.
  121. Yasushi Ishikawa, Takao Ishida, and Seiji Samukawa, Low Damage Atomic Layer Modification of SAM using Neutral Beam Process, Applied Physics Letters, Vol. 89 (2006) pp. 123122 (3pp), IF=3.411.
  122. Yoshinari Ichihashi, Yasushi Ishikawa, Yuji Kato, Ryu Shimizu, Mitsuru Okigawa and Seiji Samukawa, Effects of Thermal Annealing of Restoration of UV Irradiation Damage during Plasma Etching Processes, Japanese Journal of Applied Physics, Vol. 45 (2006) pp. 8370-8373, IF=1.384.
  123. Jyh-Hua Ting, Chin-Yun Su, Fang-Yuan Hung, Chu-Lun Hsu and Seiji Samukawa, Effects of Plasma Power and Plasma Sheath on Field Emission Properties of Carbon Nanotubes, Japanese Journal of Applied Physics, Vol. 45 (2006) pp. 8406-8411, IF=1.384.
  124. Hiroto Ohtake, Butsurin Jinnai, Yuya Suzuki, Shinnosuke Soda Tadashi Shimmura and Seiji Samukawa, Real-time Monitoring of Charge Accumulation during Pulse-Time-Modulated Plasma, Journal of Vacuum Science and Technology A, Vol. 24 (2006) pp. 2172-2175, IF=1.374.
  125. Tomohiro Kubota, Takeshi Hashimoto, Yasushi Ishikawa, Seiji Samukawa, Atsushi Miura, Yukiharu Uraoka, Takashi Fuyuki, Masaki Takeguchi, Kensuke Nishioka, and Ichiro Yamashita, Charging and Coulomb staircase effects in silicon nanodisk structures fabricated by defect-free Cl neutral beam etching process, Applied Physics Letters, Vol. 89 (2006) pp. 233127 (3pp), IF=3.411.
  126. Yuichi Yamazaki, Kenji Ishikawa, Seiji Samukawa, and Satoshi Yamasaki, Defect creation in diamond by hydrogen plasma treatment at room temperature, Physica B: Condensed Matter, Vol. 376 (2006) pp. 327-330, IF=1.386.
  127. Sergey N. Abolmasov, Takuya Ozaki, and Seiji Samukawa, Characterization of neutral beam source based on pulsed inductively coupled discharge: Time evolution of ion fluxes entering neutralizer, Journal of Vacuum Science and Technology A, Vol. 25 (2007) pp. 134-140, IF=1.374.
  128. Sergey N. Abolmasov, Seiji Samukawa, and Alexander A. Bizyukov, Theory of instabilities in crossed-field discharges at low pressures, Physics of Plasmas, Vol. 14 (2007) pp. 093501 (pp), IF=2.115.
  129. Seiji Samukawa, Butsurin Jinnai, Fumihiko Oda, and Yukihiro Morimoto, Surface Reaction Enhancement by UV irradiation during Si Etching Process with Chlorine Atom Beam, Japanese Journal of Applied Physics, Vol. 46 (2007) pp. L64-L66, IF=1.384.
  130. Hiroto Ohtake, Butsurin Jinnai, Yuya Suzuki, Shinnosuke Soda, Tadashi Shimmura, and Seiji Samukawa, On-wafer monitoring of electron and ion energy distribution at the bottom of contact-hole, Journal of Vacuum Science and Technology B, Vol. 25 (2007) pp. 400-403, IF=1.573.
  131. Tomonori Mukai, Norikazu Ohshima and Hiromitsu Hada, Seiji Samukawa, Reactive and anisotropic etching of magnetic tunnel junction films using pulse-time-modulated plasma, Journal of Vacuum Science and Technology A, Vol. 25 (2007) pp. 432-436, IF=1.374.
  132. Tomohiro Kubota, Takeshi Hashimoto, Masaki Takeguchi, Kensuke Nishioka, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita and Seiji Samukawa, Coulomb-staircase observed in silicon-nanodisk structures fabricated by low-energy chlorine neutral beams, Journal of Applied Physics, Vol. 101 (2007) pp. 124301 (9pp), IF=2.068.
  133. Cheng-Kuan Yin, Mariappan Murugesan, Ji-Chel Bea, Mikihiko Oogane, Takafumi Fukushima, Tetsu Tanaka, Shozo Kono, Seiji Samukawa, and Mitsumasa Konayagi, New Magnetic Nanodot Memory with FePt Nanodots, Japanese Journal of Applied Physics, Vol. 46 (2007) pp. 2167-2171, IF=1.384.
  134. Seiji Samukawa, High-performance and damage-free neutral-beam etching processes using negative ions in pulse-time-modulated plasma, Applied Surface Science, Vol. 253 (2007) pp. 6681-6689, IF=3.387.
  135. S. N. Abolmasov and S. Samukawa, Cold-cathode Penning discharge-based ionizer for detection of hyperthermal neutral beams, Review of Scientific Instruments, Vol. 78 (2007) pp. 073302 (8pp), IF=1.515.
  136. Tomohiro Kubota, Tomohiro Baba, Suguru Saito, Satoshi Yamasaki, Shinya Kumagai, Takuro Matsui, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita and Seiji Samukawa, Low-damage fabrication of high aspect nanocolumns by using neutral beams and ferritin-iron-core mask, Journal of Vacuum Science and Technology A, Vol. 25 (2007) pp. 760-766, IF=1.374.
  137. Tomonori Mukai, Butsurin Jinnai, Yoshiyuki Fukumoto, Norikazu Ohshima, Hiromitsu Hada and Seiji Samukawa, Plasma irradiation damages to magnetic tunneling junction devices, Journal of Applied Physics, Vol. 102 (2007) pp. 073303 (4pp), IF=2.068.
  138. Butsurin Jinnai, Toshiyuki Orita, Mamoru Konishi, Jun Hashimoto, Yoshinari Ichihashi, Akito Nishitani, Shingo Kadomura, Hiroto Ohtake and Seiji Samukawa, On-wafer monitoring of charge accumulation and sidewall conductivity in high-aspect-ratio contact holes during SiO2 etching process, Journal of Vacuum Science and Technology B, Vol. 25 (2007) pp. 1808-1813, IF=1.573.
  139. Michio Sato, Hiroto Ohtake, Koichi Suzuki and Seiji Samukawa, Real-time monitoring of successive sparks in high-density plasma chambers, Journal of Vacuum Science and Technology A, Vol. 25 (2007) pp. 1594-1598, IF=1.374.
  140. Seiji Samukawa, Yasushi Ishikawa, Keiji Okumura, Yoshinori Sato, Kazuyuki Tohji and Takao Ishida, Damage-free Surface Treatment of Carbon Nanotube and Self-assembled Mono-layer Devices Using a Neutral-Beam Process for Fusing Top-down and Bottom-up Processes, Journal of Physics D: Applied Physics, Vol. 41 (2008) pp. 024006 (6pp), IF=2.588.
  141. Seiji Samukawa, Yoshinari Ichihashi, Hiroto Ohtake, Eiichi Soda and Shuichi Saito, Environmentally harmonized CF3I plasma for low-damage and highly selective low-k etching, Journal of Applied Physics, Vol. 103 (2008) pp. 053310 (7pp), IF=2.068.
  142. Eiichi Soda, Seiichi Kondo, Shuichi Saito, Yoshinari Ichihashi, Aiko Sato, Hiroto Ohtake and Seiji Samukawa, Low-damage low-k etching with an environmentally friendly CF3I plasma, Journal of Vacuum Science and Technology A, Vol. 26 (2008) pp. 875-880, IF=1.374.
  143. Seiji Samukawa, Tomohiro Kubota, Chi-Hsien Huang, Takeshi Hashimoto, Makoto Igarashi, Kensuke Nishioka, Masaki Takeguchi, Yukiharu Uraoka, Takashi Fuyuki, and Ichiro Yamashita, A New Silicon Quantum-Well Structure with Controlled Diameter and Thickness Fabricated with Ferritin Iron Core Mask and Chlorine Neutral Beam Etching, Applied Physics Express, Vol. 1 (2008) pp. 074002 (3pp), IF=2.667.
  144. Chi-Hsien Huang, Makoto Igarashi, Kensuke Nishioka, Masaki Takeguchi, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa, Novel Stacked Nanodisk with Quantum Effect Fabricated by Defect-free Chlorine Neutral Beam Etching, Applied Physics Express, Vol. 1 (2008) pp. 084002 (3pp), IF=2.667.
  145. Yasushi Ishikawa, Yoshinari Ichihashi, Satoshi Yamasaki, and Seiji Samukawa, UV photon-induced defect and its control in plasma etching processes, Journal of Applied Physics, Vol. 104 (2008) pp. 063306 (4pp), IF=2.068.
  146. Yasushi Ishikawa, Yoshinari Ichihashi, Satoshi Yamasaki, and Seiji Samukawa, Generation and reduction in SiO2/Si interface state density during plasma etching processes, Journal of Applied Physics, Vol. 104 (2008) pp. 063308 (5pp), IF=2.068.
  147. Butsurin Jinnai, Toshihisa Nozawa and Seiji Samukawa, Damage mechanism in low-dielectric (low-k) films during plasma processes, Journal of Vacuum Science and Technology B, Vol. 26 (2008) pp. 1926-1932, IF=1.573.
  148. Seiji Samukawa, Damage-free and Anisotropic Magnetic Tunneling Junction Etching by Pulse-Time-Modulated Plasma, Journal of the Vacuum Society of Japan, Vol. 51 (2008) pp. 594-598.
  149. Takeshi Kitajima, Toshiki Nakano, Seiji Samukawa, and Toshiaki Makabe, Diagnostics of N2 dissociation in RF plasmas by vacuum ultraviolet emission and absorption spectroscopy, Plasma Sources Science and Technology, Vol. 17 (2008) pp. 024018 (7pp), IF=3.302.
  150. Shigeo Yasuhara, Juhyun Chung, Kunitoshi Tajima, Hisashi Yano, Shingo Kadomura, Masaki Yoshimaru, Noriaki Matsunaga, Tomohiro Kubota, Hiroto Ohtake and Seiji Samukawa, Structure-designable method to form super low-k SiOC film (k = 2.2) by neutral-beam-enhanced chemical vapor deposition, Journal of Physics D: Applied Physics, Vol. 42 (2009) pp. 055208 (7pp), IF=2.588.
  151. Butsurin Jinnai, Koji Koyama, K. Kato, A. Yasuda, H. Momose and Seiji Samukawa, Mechanism for low-etching resistance and surface roughness of ArF photoresist during plasma irradiation, Journal of Applied Physics, Vol. 105 (2009) pp. 053309 (6pp), IF=2.068.
  152. Eiichi Soda, Noriaki Oda, Sanae Ito, Seiichi Kondo, Shuichi Saito and Seiji Samukawa, Reduction effect of line edge roughness on time-dependent dielectric breakdown lifetime of Cu/low-k interconnects by using CF3I etching, Journal of Vacuum Science and Technology B, Vol. 27 (2009) pp. 649-653, IF=1.573.
  153. Taiki Sato, Akira Ueno, Takuya Yara, Eiji Miyamoto, Yukiharu Uraoka, Tomohiro Kubota, and Seiji Samukawa, Irradiation-Damages in Atmospheric Plasma Used in a Resist Ashing Process for Thin Film Transistors, Japanese Journal of Applied Physics, Vol. 48 (2009) pp. 03B009 (5pp), IF=1.384.
  154. Masahiro Yonemoto, Keisuke Sano, Kazuhiko Endo, Takashi Matsukawa, Meishoku Masahara and Seiji Samukawa, Low temperature, Beam-Orientation-Dependent, Lattice-Plane-Independent, and Damage-Free Oxidation for Three-Dimensional Structure by Neutral Beam Oxidation, Japanese Journal of Applied Physics, Vol. 48 (2009) pp. 04C007 (5pp), IF=1.384.
  155. Chi-Hsien Huang, Makoto Igarashi, Michel Wone, Yukiharu Uraoka, Takashi Fuyuki, Masaki Takeguchi, Ichiro Yamashita and Seiji Samukawa, Two-dimensional Si-Nanodisk Array Fabricated using Bio-Nano-Process and Neutral Beam Etching for Realistic Quantum Effect Devices, Japanese Journal of Applied Physics, Vol. 48 (2009) pp. 04C187 (6pp), IF=1.384.
  156. Yasushi Ishikawa, Keiji Okumura, Takao Ishida and Seiji Samukawa, Controllable modification of self-assembled monolayer surface by using N2 neutral beam process, Journal of Applied Physics, Vol. 105 (2009) pp. 094320 (6pp), IF=2.068.
  157. Eiichi Soda, Seiichi Kondo, Shuichi Saito, Koji Koyama, Butsurin Jinnai and Seiji Samukawa, Mechanism of reducing line edge roughness in ArF photoresist by using CF3I plasma, Journal of Vacuum Science and Technology B, Vol. 27 (2009) pp. 2117-2123, IF=1.573.
  158. Shigeo Yasuhara, Juhyun Chung, Kunitoshi Tajima, Hisashi Yano, Shingo Kadomura, Masaki Yoshimaru, Noriaki Matsunaga, and Seiji Samukawa, Impact of film structure on damage to low-k SiOCH film during plasma exposure, Journal of Physics D: Applied Physics, Vol. 42 (2009) pp. 235201 (8pp), IF=2.588.
  159. Shigeo Yasuhara, Toru Sasaki, Tsutomu Shimayama, Kunitoshi Tajima, Hisashi Yano, Shingo Kadomura, Masaki Yoshimaru, Noriaki Matsunaga, Seiji Samukawa, Super-low-k SiOCH film (k = 1.9) with extremely high water resistance and thermal stability formed by neutral-beam-enhanced CVD, Journal of Physics D: Applied Physics, Vol. 43 (2010) pp. 065203 (8pp), IF=2.588.
  160. Butsurin Jinnai, Seiichi Fukuda, Hiroto Ohtake, and Seiji Samukawa, Prediction of UV spectra and UV-radiation damage in actual plasma etching processes using on-wafer monitoring technique, Journal of Applied Physics, Vol. 107 (2010) pp. 043302 (6pp), IF=2.068.
  161. Michio Sato, Hiroto Ohtake, and Seiji Samukawa, Novel Particle-Reduction System in Plasma-Enhanced Chemical Vapor Deposition Process of Interlayer Dielectrics, Japanese Journal of Applied Physics, Vol. 49 (2010) pp. 04DB13 (4pp), IF=1.384.
  162. Hiroto Ohtake, Seiichi Fukuda, Butsurin Jinnai, Tomohiko Tatsumi, and Seiji Samukawa, Prediction of Abnormal Etching Profile in High-Aspect-Ratio Via/Hole Etching Using On-Wafer Monitoring System, Japanese Journal of Applied Physics, Vol. 49 (2010) pp. 04DB14 (5pp), IF=1.384.
  163. Noriaki Matsunaga, Hirokatsu Okumura, Butsurin Jinnai, and Seiji Samukawa, Hard-Mask-Through UV-Light-Induced Damage to Low-k Film during Plasma Process for Dual Damascene, Japanese Journal of Applied Physics, Vol. 49 (2010) pp. 04DB06 (6pp), IF=1.384.
  164. Akira Wada, Keisuke Sano, Masahiro Yonemoto, Kazuhiko Endo, Takashi Matsukawa, Meishoku Masahara, Satoshi Yamasaki, and Seiji Samukawa, High-Performance Three-Terminal Fin Field-Effect Transistors Fabricated by a Combination of Damage-Free Neutral-Beam Etching and Neutral-Beam Oxidation, Japanese Journal of Applied Physics, Vol. 49 (2010) pp. 04DC17 (5pp), IF=1.384.
  165. Chi-Hsien Huang, Makoto Igarashi, Susumu Horita, Masaki Takeguchi, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa, Novel Si Nanodisk Fabricated by Biotemplate and Defect-Free Neutral Beam Etching for Solar Cell Application, Japanese Journal of Applied Physics, Vol. 49 (2010) pp. 04DL16 (5pp), IF=1.384.
  166. Maju Tomura, Chi-Hsien Huang, Yusuke Yoshida, Takahito Ono, Satoshi Yamasaki, and Seiji Samukawa, Plasma-Induced Deterioration of Mechanical Characteristics of Microcantilever, Japanese Journal of Applied Physics, Vol. 49 (2010) pp. 04DL20 (4pp), IF=1.384.
  167. Butsurin Jinnai, Takuji Uesugi, Koji Koyama, Keisuke Kato, Atsushi Yasuda, Shinichi Maeda, Hikaru Momose, and Seiji Samukawa, Improving plasma resistance and lowering roughness in an ArF photoresist by adding a chemical reaction inhibitor, Journal of Physics D: Applied Physics, Vol. 43 (2010) pp. 465203 (6pp), IF=2.588.
  168. Shinji Ueki, Yuki Nishimori, Hiroshi Imamoto, Tomohiro Kubota, Masakazu Sugiyama, Seiji Samukawa, and Gen Hashiguchi, Analysis of a Comb-Drive Actuator Taking the Depletion Layer into Consideration, IEEJ Transactions on Sensors and Micromachines, Vol. 130 (2010) pp. 388-393.
  169. Tomohiro Kubota, Osamu Nukaga, Shinji Ueki, Masakazu Sugiyama, Yoshimasa Inamoto, Hiroto Ohtake, and Seiji Samukawa, 200-mm-diameter neutral beam source based on inductively coupled plasma etcher and silicon etching, Journal of Vacuum Science and Technology A, Vol. 28 (2010) pp. 1169-1174, IF=1.374.
  170. Butsurin Jinnai, Takuji Uesugi, Koji Koyama, Keisuke Kato, Atsushi Yasuda, Shinichi Maeda, Hikaru Momose, and Seiji Samukawa, Decisive factors affecting plasma resistance and roughness formation in ArF photoresist, Journal of Physics D: Applied Physics, Vol. 43 (2010) pp. 395204 (8pp), IF=2.588.
  171. Akira Wada, Kazuhiko Endo, Meishoku Masahara, Chi-Hsien Huang, and Seiji Samukawa, Fabrication of Four-Terminal Fin Field-Effect Transistors with Asymmetric Gate-Oxide Thickness Using an Anisotropic Oxidation Process with a Neutral Beam, Applied Physics Express, Vol. 3 (2010) pp. 096502 (3pp), IF=2.667.
  172. Makoto Igarashi, Chi-Hsien Huang, Takashi Morie, and Seiji Samukawa, Control of Electron Transport in Two-Dimensional Array of Si Nanodisks for Spiking Neuron Device, Applied Physics Express, Vol. 3 (2010) pp. 085202 (3pp), IF=2.667.
  173. Yoshinari Ichihashi, Yasushi Ishikawa, Ryu Shimizu, and Seiji Samukawa, Mechanism of increase in charge-pumping current of metal-nitride-oxide-silicon-field effect transistors during thick dielectric film etching using fluorocarbon gas plasma, Journal of Vacuum Science and Technology B, Vol. 28 (2010) pp. 829-833, IF=1.573.
  174. Yoshinari Ichihashi, Yasushi Ishikawa, Ryu Shimizu, and Seiji Samukawa, Effect of iodotrifluoromethane plasma for reducing ultraviolet light irradiation damage in dielectric film etching processes, Journal of Vacuum Science and Technology B, Vol. 28 (2010) pp. 577-580, IF=1.573.
  175. Xuan-Yu Wang, Chi-Hsien Huang, Yuzo Ohno, Makoto Igarashi, Akihiro Murayama, and Seiji Samukawa, Defect-free etching process for GaAs/AlGaAs hetero-nanostructure using chlorine/argon mixed neutral beam, Journal of Vacuum Science and Technology B, Vol. 28 (2010) pp. 1138-1142, IF=1.573.
  176. Chi-Hsien Huang, Xuan-Yu Wang, Makoto Igarashi, Akihiro Murayama, Yoshitaka Okada, Ichiro Yamashita, and Seiji Samukawa, Optical absorption characteristic of highly ordered and dense two-dimensional array of silicon nanodisks, Nanotechnology, Vol. 22 (2011) pp. 105301 (8pp), IF=3.44.
  177. Makoto Igarashi, Rikako Tsukamoto, Chi-Hsien Huang, Ichiro Yamashita, and Seiji Samukawa, Direct Fabrication of Uniform and High Density Sub-10-nm Etching Mask Using Ferritin Molecules on Si and GaAs Surface for Actual Quantum-Dot Superlattice, Applied Physics Express, Vol. 4 (2011) pp. 015202 (3pp), IF=2.667.
  178. Michio Sato, Hiroto Ohtake, and Seiji Samukawa, Reduction in Number of Sparks Generated in High-Density Plasma Process by Fixing the Wall Potential, Japanese Journal of Applied Physics, Vol. 50 (2011) pp. 036204 (5pp), IF=1.384.
  179. Shinji Ueki, Yuki Nishimori, Hiroshi Imamoto, Tomohiro Kubota, Masakazu Sugiyama, Hideki Kawakatsu, Seiji Samukawa, and Gen Hashiguchi, Method to evaluate the influence of etching damage on microcantilever surface on its mechanical properties, Japanese Journal of Applied Physics, Vol. 50 (2011) pp. 026503 (6pp), IF=1.384.
  180. Tomohiro Kubota, Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono, Yasuroh Iriye, and Seiji Samukawa, Numerical simulation on neutral beam generation mechanism by collision of positive and negative chlorine ions with graphite surface, Journal of Physics D: Applied Physics, Vol. 44 (2011) pp. 125203 (5pp), IF=2.588.
  181. Akira Wada, Kazuhiko Endo, Meishoku Masahara, Chi-Hsien Huang and Seiji Samukawa, Low activation energy, high-quality oxidation of Si and Ge using neutral beam, Applied Physics Letters, Vol. 98 (2011) pp. 203111 (3pp), IF=3.411.
  182. Noriaki Matsunaga, Hirokatsu Okumura, Butsurin Jinnai, and Seiji Samukawa, Measurement and simulation of spreading current in interlayer dielectric film deposition by plasma-enhanced chemical vapor deposition, Journal of Vacuum Science and Technology A, Vol. 29 (2011) pp. 041302 (6pp), IF=1.374.
  183. Xuan-Yu Wang, Chi-Hsien Huang, Rikako Tsukamoto, Pierre-Andre Mortemousque, Kohei M Itoh, Yuzo Ohno, and Seiji Samukawa, Damage-free top-down processes for fabricating two-dimensional arrays of 7 nm GaAs nanodiscs using bio-templates and neutral beam etching, Nanotechnology, Vol. 22 (2011) pp. 365301 (9pp), IF=3.44.
  184. Takuji Uesugi, Takeru Okada, Akira Wada, Keisuke Kato, Atsushi Yasuda, Shinichi Maeda, and Seiji Samukawa, The effects of polymer side-chain structure on roughness formation of ArF photoresist in plasma etching processes, Journal of Physics D: Applied Physics, Vol. 45 (2012) pp. 075203 (7pp), IF=2.588.
  185. Takayuki Kiba, Yoshiya Mizushima, Makoto Igarashi, Chi-Hsien Huang, Seiji Samukawa, and Akihiro Murayama, Picosecond transient photoluminescence in high-density Si-nanodisk arrays fabricated using bio-nano-templates, Applied Physics Letters, Vol. 100 (2012) pp. 053117 (4pp), IF=3.411.
  186. Mohd Fairuz Budiman, Weiguo Hu, Makoto Igarashi, Rikako Tsukamoto, Taiga Isoda, Kohei M. Itoh, Ichiro Yamashita, Akihiro Murayama, Yoshitaka Okada, and Seiji Samukawa, Control of optical bandgap energy and optical absorption coef?cient by geometric parameters in sub-10 nm silicon-nanodisc array structure, Nanotechnology, Vol. 23 (2012) pp. 065302 (6pp), IF=3.44.
  187. Takuji Uesugi, Takeru Okada, Akira Wada, Keisuke Kato, Atsushi Yasuda, Shinichi Maeda, and Seiji Samukawa, Dependence of polymer main-chain structure on roughness formation of ArF photoresists in the plasma etching processes, Journal of Physics D: Applied Physics, Vol. 45 (2012) pp. 095201 (6pp), IF=2.588.
  188. Tomohiro Kubota, Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono, Yasuroh Iriye, and Seiji Samukawa, Numerical study on electron transfer mechanism by collision of ions at graphite surface in highly efficient neutral beam generation, Journal of Physics D: Applied Physics, Vol. 45 (2012) pp. 095202 (5pp), IF=2.588.
  189. Rikako Tsukamoto, Makoto Igarashi, Seiji Samukawa, and Ichiro Yamashita, Fast Two-Dimensional Ferritin Crystal Formation Realized by Mutant Ferritin and Poly(ethylene glycol) Modified SiO2 Substrate, Applied Physics Express, Vol. 5 (2012) pp. 065201 (3pp), IF=2.667.
  190. Akira Wada, Toru Sasaki, Shigeo Yasuhara, and Seiji Samukawa, Super-Low-k SiOCH Film with Sufficient Film Modulus and High Thermal Stability Formed by Using Admixture Precursor in Neutral-Beam-Enhanced Chemical Vapor Deposition, Japanese Journal of Applied Physics, Vol. 51 (2012) pp. 05EC01 (4pp), IF=1.384.
  191. Akira Wada, Rui Zhang, Shinichi Takagi, and Seiji Samukawa, High-quality germanium dioxide thin films with low interface state density using a direct neutral beam oxidation process, Applied Physics Letters, Vol. 100 (2012) pp. 213108 (3pp), IF=3.411.
  192. Seiji Samukawa, Masaru Hori, Shahid Rauf, Kunihide Tachibana, Peter Bruggeman, Gerrit Kroesen, J Christopher Whitehead, Anthony B Murphy, Alexander F Gutsol, Svetlana Starikovskaia, Uwe Kortshagen, Jean-Pierre Boeuf, Timothy J Sommerer, Mark J Kushner, Uwe Czarnetzki, and Nigel Mason, The 2012 Plasma Roadmap, Journal of Physics D: Applied Physics, Vol. 45 (2012) pp. 253001 (37pp), IF=2.588.
  193. Rii Hirano, Satoru Miyamoto, Masahiro Yonemoto, Seiji Samukawa, Kentarou Sawano, Yasuhiro Shiraki, and Kohei M. Itoh, Room-Temperature Observation of Size Effects in Photoluminescence of Si0.8Ge0.2/Si Nanocolumns Prepared by Neutral Beam Etching, Applied Physics Express, Vol. 5 (2012) pp. 082004 (3pp), IF=2.667.
  194. Shinji Ueki, Yuki Nishimori, Hiroshi Imamoto, Tomohiro Kubota, Kuniyuki Kakushima, Tsuyoshi Ikehara, Masakazu Sugiyama, Seiji Samukawa, and Gen Hashiguchi, Modeling of the Vibrating-Body Field Effect Transistors based on Electro-Mechanical Interaction between Gate and Channel, IEEE Transactions on Electron Devices, Vol. 59 (2012) pp. 2235-2242, IF=2.605.
  195. Makoto Igarashi, Mohd Fairuz Budiman, Wugen Pan, Weiguo Hu, Noritaka Usami, and Seiji Samukawa, Quantum dot solar cells using 2-dimensional array of 6.4-nm-diameter silicon nanodisks fabricated using bio-templates and neutral beam etching, Applied Physics Letters, Vol. 101 (2012) pp. 063121 (3pp), IF=3.411.
  196. Toshiyuki Kaizu, Yosuke Tamura, Makoto Igarashi, Weiguo Hu, Rikako Tsukamoto, Ichiro Yamashita, Seiji Samukawa, and Yoshitaka Okada, Photoluminescence from GaAs nanodisks fabricated by using combination of neutral beam etching and atomic hydrogen-assisted molecular beam epitaxy regrowth, Applied Physics Letters, Vol. 101 (2012) pp. 113108 (4pp), IF=3.411.
  197. Takayuki Kiba, Yoshiya Mizushima, Makoto Igarashi, Seiji Samukawa, and Akihiro Murayama, Picosecond carrier dynamics induced by coupling of wavefunctions in a Si-nanodisk array fabricated by neutral beam etching using bio-nano-templates, Nanoscale Research Letters, Vol. 7 (2012) pp. 587 (5pp), IF=2.833.
  198. Akira Wada, Rui Zhang, Shinichi Takagi, and Seiji Samukawa, Formation of Thin Germanium Dioxide Film with a High-Quality Interface Using a Direct Neutral Beam Oxidation Process, Japanese Journal of Applied Physics, Vol. 51 (2012) pp. 125603 (5pp), IF=1.384.
  199. Koudo Nakaji, Hao Li, Takayuki Kiba, Makoto Igarashi, Seiji Samukawa, and Akihiro Murayama, Plasmonic enhancements of photoluminescence in hybrid Si nanostructures with Au fabricated by fully top-down lithography, Nanoscale Research Letters, Vol. 7 (2012) pp. 629 (5pp), IF=2.833.
  200. Makoto Igarashi, Mohd Fairuz Budiman, Wugen Pan, Weiguo Hu, Yosuke Tamura, Mohd Erman Syazwan, Noritaka Usami and Seiji Samukawa, Effects of formation of mini-bands in two-dimensional array of silicon nanodisks with SiC interlayer for quantum dot solar cells, Nanotechnology, Vol. 24 (2013) pp. 015301 (9pp), IF=3.44.
  201. Hiroshi Ito, Takuya Kuwahara, Yuji Higuchi, Nobuki Ozawa, Seiji Samukawa, and Momoji Kubo, Chemical Reaction Dynamics of SiO2 Etching by CF2 Radicals: Tight-Binding Quantum Chemical Molecular Dynamics Simulations, Japanese Journal of Applied Physics, Vol. 52 (2013) pp. 026502 (9pp), IF=1.384.
  202. Yuki Nishimori, Shinji Ueki, Kazuhiro Miwa, Tomohiro Kubota, Masakazu Sugiyama, Seiji Samukawa, and Gen Hashiguchi, Effect of neutral beam etching on mechanical property of microcantilevers, Journal of Vacuum Science and Technology B, Vol. 31 (2013) pp. 022001 (7pp), IF=1.573.
  203. Daiki Nakayama, Akira Wada, Tomohiro Kubota, Robert Bruce, Ryan M. Martin, Moritz Haass, Nicholas Fuller, and Seiji Samukawa, Highly Selective Silicon Nitride Etching to Si and SiO2 for Gate Sidewall Spacer Using CF3I/O2/H2 Neutral Beam, Journal of Physics D: Applied Physics, Vol. 46 (2013) pp. 205203 (7pp), IF=2.588.
  204. Akira Wada, Yuuki Yanagisawa, Batnasan Altansukh, Tomohiro Kubota, Takahito Ono, Satoshi Yamasaki, and Seiji Samukawa, Energy-loss Mechanism of Single-crystal Silicon Microcantilever due to Surface Defects Generated during Plasma Processing, Journal of Micromechanics and Microengineering, Vol. 23 (2013) pp. 065020 (7pp), IF=1.794.
  205. Weiguo Hu, Mohd Fairuz Budiman, Makoto Igarashi, Ming-Yi Lee, Yiming Li, and Seiji Samukawa, Modeling miniband for realistic silicon nanocrystal array, Mathematical and Computer Modelling, Vol. 58 (2013) pp. 306-311.
  206. Makoto Igarashi, Weiguo Hu, Mohammad M Rahman, Noritaka Usami and Seiji Samukawa, Generation of High Photocurrent in Three-Dimensional Silicon Quantum Dot Superlattice Fabricated by Combining Bio-Template and Neutral Beam Etching for Quantum Dot Solar Cells, Nanoscale Research Letters, Vol. 8 (2013) pp. 228 (7pp), IF=2.833.
  207. Takayuki Kiba, Yoshiya Mizushima, Makoto Igarashi, Chi-Hsien Huang, Seiji Samukawa, and Akihiro Murayama, Temperature dependence of time-resolved photoluminescence in closely packed alignment of Si nanodisks with SiC barriers, Nanoscale Research Letters, Vol. 8 (2013) pp. 223 (7pp), IF=2.833.
  208. Weiguo Hu, Makoto Igarashi, Ming-Yi Lee, Yiming Li, and Seiji Samukawa, Realistic quantum design of silicon quantum dot intermediate band solar cell, Nanotechnology, Vol. 24 (2013) pp. 265401 (8pp), IF=3.44.
  209. Yosuke Tamura, Toshiyuki Kaizu, Takayuki Kiba, Makoto Igarashi, Rikako Tsukamoto, Akio Higo, Weiguo Hu, Cedric Thomas, Mohd Erman Fauzi, Takuya Hoshii, Ichiro Yamashita, Yoshitaka Okada, Akihiro Murayama and Seiji Samukawa, Quantum size effects in GaAs nanodisks fabricated using a combination of the bio-template technique and neutral beam etching, Nanotechnology, Vol. 24 (2013) pp. 285301 (6pp), IF=3.44.
  210. Chi-Hsien Huang, Ching-Yuan Su, Takeru Okada, Lain-Jong Li, Kuan-I Ho, Pei-Wen Li, Inn-Hao Chen, Chien Chou, Chao-Sung Lai, and Seiji Samukawa, Ultra-low-edge-defect graphene nanoribbons patterned by neutral beam, Carbon, Vol. 61 (2013) pp. 229-235, IF=6.337.
  211. Takayuki Kiba, Kenta Suzaki, Hao Li, Makoto Igarashi, Seiji Samukawa, and Akihiro Murayama, Surface/interface-related optical properties in Si nanodisks fabricated by neutral-beam etching using bio-templates, Journal of Crystal Growth, Vol. 378 (2013) pp. 493-496, IF=1.751.
  212. Jian Ping Zhao, Lee Chen, Merritt Funk, Radha Sundararajan, Toshihisa Nozawa, and Seiji Samukawa, Effect of electron energy distribution functions on plasma generated vacuum ultraviolet in a diffusion plasma excited by a microwave surface wave, Applied Physics Letters, Vol. 103 (2013) pp. 032103 (4pp), IF=3.411.
  213. Takuji Uesugi, Takeru Okada, Akira Wada, Keisuke Kato, Atsushi Yasuda, Shinichi Maeda, and Seiji Samukawa, Novel ArF photoresist polymer to suppress the formation of roughness in plasma etching processes, Journal of Vacuum Science and Technology A, Vol. 31 (2013) pp. 061301 (6pp), IF=1.374.
  214. Yoshiyuki Kikuchi, Akira Wada, Takuya Kurotori, Miku Sakamoto, Toshihisa Nozawa, and Seiji Samukawa, Non-Porous Ultra-Low-k SiOCH (k=2.3) for Damage Free Integration and Cu Diffusion Barrier, Journal of Physics D: Applied Physics, Vol. 46 (2013) pp. 395203 (7pp), IF=2.588.
  215. Tomohiro Kubota, Hiroto Ohtake, Ryosuke Araki, Yuuki Yanagisawa, Takuya Iwasaki, Kohei Ono, Kazuhiro Miwa, and Seiji Samukawa, Prediction of etching-shape anomaly due to distortion of ion sheath around a large-scale three-dimensional structure by means of on-wafer monitoring technique and computer simulation, Journal of Physics D: Applied Physics, Vol. 46 (2013) pp. 415203 (7pp), IF=2.588.
  216. Kazuhiro Miwa, Yuki Nishimori, Shinji Ueki, Masakazu Sugiyama, Tomohiro Kubota, and Seiji Samukawa, Low-damage silicon etching using a neutral beam, Journal of Vacuum Science and Technology B, Vol. 31 (2013) pp. 051207 (6pp), IF=1.573.
  217. Weiguo Hu, Mohammad Maksudur Rahman, Ming-Yi Lee, Yiming Li, and Seiji Samukawa, Simulation study of type-II Ge/Si quantum dot for solar cell applications, Journal of Applied Physics, Vol. 114 (2013) pp. 124509 (4pp), IF=2.068.
  218. Rikako Tsukamoto, Maia Godonoga, Ryota Matsuyama, Makoto Igarashi,Jonathan Gardiner Heddle, Seiji Samukawa, and Ichiro Yamashita, Effect of PEGylation on Controllably Spaced Adsorption of Ferritin Molecules, Langmuir, Vol. 29 (2013) pp. 12737-12743, IF=3.833.
  219. Shinji Ueki, Yuki Nishimori, Kazuhiro Miwa, Shinya Nakagawa, Hiroshi Imamoto, Tomohiro Kubota, Masakazu Sugiyama, Seiji Samukawa, and Gen Hashiguchi, Proposal of High Current Gain Vibrating-Body Field-Effect Transistor, IEEJ Transactions on Sensors and Micromachines, Vol. 133 (2013) pp. 332-336.
  220. Yoshiyuki Kikuchi, Akira Wada, Takuya Kurotori, Masanori Nakano, Kumi Y. Inoue, Tomokazu Matsue, Toshihisa Nozawa, and Seiji Samukawa, Conductive amorphous hydrocarbon film for Bio-sensor formed by low temperature neutral beam enhanced chemical vapor deposition, Carbon, Vol. 67 (2014) pp. 635-642, IF=6.337.
  221. Chi-Hsien Huang, Ching-Yuan Su, Chao-Sung Lai, Yen-Cheng Li, and Seiji Samukawa, Ultra-low-damage radical treatment for the highly controllable oxidation of large-scale graphene sheets, Carbon, Vol. 73 (2014) pp. 244-251, IF=6.337.
  222. Cedric Thomas, Yosuke Tamura, Mohd Erman Syazwan, Akio Higo, and Seiji Samukawa, Oxidation states of GaAs surface and their effects on neutral beam etching during nanopillar fabrication, Journal of Physics D: Applied Physics, Vol. 47 (2014) pp. 215203 (11pp), IF=2.588.
  223. Nguyen Van Toan, Tomohiro Kubota, Halubai Sekhar, Seiji Samukawa, and Takahito Ono, Mechanical quality factor enhancement in silicon micromechanical resonator by low-damage process using neutral beam etching technology, Journal of Micromechanics and Microengineering, Vol. 24 (2014) pp. 085005 (11pp), IF=1.794.
  224. Xun Gu, Yoshiyuki Kikuchi, Toshihisa Nozawa, and Seiji Samukawa, A new metallic complex reaction etching for transition metals by a low-temperature neutral beam process, Journal of Physics D: Applied Physics, Vol. 47 (2014) pp. 322002 (4pp), IF=2.588.
  225. Cedric Thomas, Yosuke Tamura, Takeru Okada, Akio Higo and Seiji Samukawa, Estimation of activation energy and surface reaction mechanism of chlorine neutral beam etching of GaAs for nanostructure fabrication, Journal of Physics D: Applied Physics, Vol. 47 (2014) pp. 275201 (7pp), IF=2.588.
  226. Tomohiro Kubota, Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono, Yasuroh Iriye, and Seiji Samukawa, Improved numerical calculation of generation of neutral beam by charge transfer between chlorine ions/neutrals and graphite surface, Journal of Physics D: Applied Physics, Vol. 47 (2014) pp. 465203 (6pp), IF=2.588.
  227. Takayuki Kiba, Toru Tanaka, Yosuke Tamura, Akio Higo, Cedric Thomas, Seiji Samukawa, and Akihiro Murayama, Impact of artificial lateral quantum confinement on exciton-spin relaxation in a twodimensional GaAs electronic system, AIP Advances, Vol. 4 (2014) pp. 107112 (7pp), IF=1.568.
  228. Hiroshi Ito, Takuya Kuwahara, Kentaro Kawaguchi, Yuji Higuchi, Nobuki Ozawa, Seiji Samukawa,and Momoji Kubo, Tight-Binding Quantum Chemical Molecular Dynamics Simulations of Mechanisms of SiO2 Etching Processes for CF2 and CF3 Radicals, Journal of Physical Chemistry C, Vol. 118 (2014) pp. 21580-21588, IF=4.536.
  229. Akio Higo, Takayuki Kiba, Yosuke Tamura, Cedric Thomas, Junichi Takayama, Yunpeng Wang, Hassanet Sodabanlu, Masakazu Sugiyama, Yoshiaki Nakano, Ichiro Yamashita, Akihiro Murayama, and Seiji Samukawa, Light-Emitting Devices Based on Top-down Fabricated GaAs Quantum Nanodisks, Scientific Reports, Vol. 5 (2015) pp. 9371 (8pp), IF=4.259.
  230. Takeo Ohno and Seiji Samukawa, Resistive switching in a few nanometers thick tantalum oxide film formed by a metal oxidation, Applied Physics Letters, Vol. 106 (2015) pp. 173110 (4pp), IF=3.411.
  231. Toru Tanaka, Takayuki Kiba, Akio Higo, Cedric Thomas, Yosuke Tamura, Seiji Samukawa, and Akihiro Murayama, Electron g-factor and spin decoherence in GaAs quantum nano disks fabricated by fully top-down lithography, Journal of Crystal Growth, Vol. 425 (2015) pp. 295-298, IF=1.751.
  232. Yoshiyuki Kikuchi, Xijiang Chang, Yasuaki Sakakibara, Kumi Y. Inoue, Tomokazu Matsue, Toshihisa Nozawa, Seiji Samukawa, Amorphous carbon nitride thin films for electrochemical electrode: Effect of molecular structure and substrate materials, Carbon, Vol. 93 (2015) pp. 207-216, IF=6.337.
  233. Takeo Ohno, Daiki Nakayama, and Seiji Samukawa, Al and Ge simultaneous oxidation using neutral beam post-oxidation for formation of gate stack structures, Applied Physics Letters, Vol. 107 (2015) pp. 133107 (3pp), IF=3.411.
  234. Takeru Okada and Seiji Samukawa, Selective in-plane nitrogen doping of graphene by an energy-contolled neutral beam, Nanotechnology, Vol. 26 (2015) pp. 485602 (6pp), IF=3.44.
  235. Ming-Yi Lee, Yiming Li, and Seiji Samukawa, Miniband Calculation of 3-D Nanostructure Array for Solar Cell Applications, IEEE Transactions on Electron Devices, Vol. 62 (2015) pp. 3709-3714, IF=2.605.
  236. Li-Wei Yang, Yi-Chia Tsai, Yiming Li, Aiko Higo, Akihiro Murayama, S. Samukawa, and O. Voskoboynikov, Tuning of the electron g factor in defect-free GaAs nanodisks, Physical Review B, Vol. 92 (2015) pp. 245413 (9pp), IF=3.836.
  237. Mohammad Maksudur Rahman, Ming-Yi Lee, Yi-Chia Tsai, Akio Higo, Halubai Sekhar, Makoto Igarashi, Mohd Erman Syazwan, Yusuke Hoshi, Kentarou Sawano, Noritaka Usami, Yiming Li, and Seiji Samukawa, Impact of silicon quantum dot super lattice and quantum well structure as intermediate layer on p-i-n silicon solar cells, Progress in Photovoltaic, Vol. 24 (2016) pp. 774-780, IF=6.726.
  238. Mohammad Maksudur Rahman, Akio Higo, Halubai Sekhar, Mohd Erman Syazwan, Yusuke Hoshi, Noritaka Usami, and Seiji Samukawa, Effect of passivation layer grown by atomic layer deposition and sputtering processes on Si quantum dot superlattice to generate high photocurrent for high-efficiency solar cells, Japanese Journal of Applied Physics, Vol. 55 (2016) pp. 032303 (6pp), IF=1.384.
  239. Takeo Ohno, Daiki Nakayama, Takeru Okada, and Seiji Samukawa, Formation of Ge oxide film by neutral beam postoxidation using Al metal film, Japanese Journal of Applied Physics, Vol. 55 (2016) pp. 04EJ03 (3pp), IF=1.384.
  240. Takashi Tohara, Haichao Liang, Hirofumi Tanaka, Makoto Igarashi, Seiji Samukawa, Kazuhiko Endo, Yasuo Takahashi, and Takashi Morie, Silicon nanodisk array with a fin field-effect transistor for time-domain weighted sum calculation toward massively parallel spiking neural networks, Applied Physics Express, Vol. 9 (2016) pp. 034201 (4pp), IF=2.667.
  241. Yi-Chia Tsai, Ming-Yi Lee, Yiming Li, and Seiji Samukawa, Miniband formulation in Ge/Si quantum dot array, Japanese Journal of Applied Physics, Vol. 55 (2016) pp. 04EJ14 (5pp), IF=1.384.
  242. Seiji Samukawa, A Neutral Beam Process for Controlling Surface Defect Generation and Chemical Reactions at the Atomic Layer, ECS Journal of Solid State Science and Technology, Vol. 4 (2015) pp. N5089-N5094, IF=1.787.
  243. Takeo Ohno and Seiji Samukawa, Ta2O5-based redox memory formed by neutral beam oxidation, Japanese Journal of Applied Physics, Vol. 55 (2016) pp. 06GJ01 (3pp), IF=1.384.
  244. Yosuke Tamura, Akio Higo, Takayuki Kiba, Cedric Thomas, Junichi Takayama, Ichiro Yamashita, Akihiro Murayama, and Seiji Samukawa,, Temperature-Dependent Operation of GaAs Quantum Nanodisk LEDs with Asymmetric AlGaAs Barriers, IEEE Transactions on Nanotechnology, Vol. 15 (2016) pp. 557-562, IF=2.485.
  245. Yi-Chia Tsai, Ming-Yi Lee, Yiming Li, Mohammad Maksudur Rahman, Seiji Samukawa, Simulation Study of Multilayer Si/SiC Quantum Dot Superlattice for Solar Cell Applications, IEEE Electron Device Letters, Vol. 37 (2016) pp. 758-761, IF=3.048.
  246. Daisuke Ohori, Atsuhiko Fukuyama, Cedric Thomas, Akio Higo, Seiji Samukawa, and Tetsuo Ikari, Optical properties of quantum energies in GaAs quantum nanodisks produced using a bio-nanotemplate and a neutral beam etching technique, Japanese Journal of Applied Physics, Vol. 55 (2016) pp. 092101 (4pp), IF=1.384.
  247. Yi-Chun Lai, Akio Higo, Takayuki Kiba, Cedric Thomas, Shula Chen,Chang Yong Lee, Tomoyuki Tanikawa, Shigeyuki Kuboya,Ryuji Katayama, Kanako Shojiki, Junichi Takayama, Ichiro Ymashita, Akihiro Murayama, Gou-Chung Chi, Peichen Yu and Seiji Samukawa, Nanometer scale fabrication and optical response of InGaN/GaN quantum disks, Nanotechnology, Vol. 27 (2016) pp. 1-5, IF=3.44.
  248. Fu-Ju Hou, Po-Jung Sung, Fu-Kuo Hsueh, Chien-Ting Wu, Yao-Jen Lee, Yiming Li, Seiji Samukawa and Tuo-Hung Hou, Suspended Diamond-Shaped Nanowire With Four {111} Facets for High-Performance Ge Gate-All-Around FETs, IEEE Transactions on Electron Devices, Vol. 63 (2016) pp. 3837-3843, IF=2.605.
  249. Takeru Okada, Kumi Y. Inoue, Golap Kalita, Masaki Tanemura, Tomokazu Matsue, M. Meyyappan, Seiji Samukawa, Bonding state and defects of nitrogen-doped graphene in oxygen reduction reaction, Chemical Physics Letters, Vol. 665 (2016) pp. 107-110, IF=1.815.
  250. Yen-Ku Lin, Shuichi Noda, Hsiao-Chieh Lo, Shih-Chien Liu, Chia-Hsun Wu, Yuen-Yee Wong, Quang Ho Luc, Po-Chun Chang, Heng-Tung Hsu, Seiji Samukawa, Edward Yi Chang, AlGaN/GaN HEMTs With Damage-Free Neutral Beam Etched Gate Recess for High-Performance Millimeter-Wave Applications, IEEE ELECTRON DEVICE LETTERS, Vol. 37 (2016) pp. 1395-1398, IF=3.048.
  251. Fuyumi Hemmi, Cedric Thomas, Yi-Chun Lai, Akio Higo, Alex Guo, Shireen Warnock, Jesus A. del Alamo, Seiji Samukawa, Taiichi Otsuji, and Tetsuya Suemitsu, Neutral beam etching for device isolation in AlGaN/GaN HEMTs, Physica Status Solidi A, Vol. 214 (2017) pp. 1600617 (5pp), IF=1.775.
  252. Wataru Mizubayashi, Shuichi Noda, Yuki Ishikawa, Takashi Nishi, Akio Kikuchi, Hiroyuki Ota, Ping-Hsun Su, Yiming Li, Seiji Samukawa, and Kazuhiko Endo, Impacts of plasma-induced damage due to UV light irradiation during etching on Ge fin fabrication and device performance of Ge fin field-effect transistors, Applied Physics Express, Vol. 10 (2017) pp. 026501 (4pp), IF=2.667.
  253. Takuya Fujii, Takeru Okada, Taiga Isoda, Mohd Erman Syazwan, Mohamed-Tahar Chentir, Kohei M. Itoh, Ichiro Yamashita, Seiji Samukawa, Fabrication of germanium nanodisk array by neutral beam etching with protein as etching mask , Journal of Vacuum Science and Technology B, Vol. 35 (2017) pp. 021801 (6pp), IF=1.573.
  254. Akiou Kikuchi, Akifumi Yao, Isamu Mori, Takahito Ono, Seiji Samukawa, Extremely low thermal conductivity of high density and ordered 10 nm-diameter silicon nanowires array, APPLIED PHYSICS LETTERS, Vol. 110 (2017) pp. 091908 (4pp), IF=3.411.
  255. Daisuke Ohori, Atsuhiko Fukuyama, Kentaro Sakai, Akio Higo, Cedric Thomas, Seiji Samukawa, and Tetsuo Ikari, Photoluminescence emission from GaAs nanodisks in GaAs/AlGaAs nanoopillar arrays fabricated by neutral beam etching, Japanese Journal of Applied Physics, Vol. 56 (2017) pp. 050308 (4pp), IF=1.384.
  256. N. P. Stepina, A. F. Zinovieva, A. V. Dvurechenskii, Shuichi Noda, Md. Zaman Molla, and Seiji Samukawa, Spin relaxation in Si nanoclusters embedded in free-standing SiGe nanocolumns, APPLIED PHYSICS LETTERS, Vol. 110 (2017) pp. 203103 (4pp), IF=3.411.
  257. Yen-Ku Lin, Shuichi Noda, Chia-Ching Huang, Hsiao-Chieh Lo, Chia-Hsun Wu, Quang Ho Luc, Po-Chun Chang, Heng-Tung Hsu, Seiji Samukawa, Edward Yi Chang, High-Performance GaN MOSHEMTs Fabricated With ALD Al2O3 Dielectric and NBE Gate Recess Technology for High Frequency Power Applications, IEEE ELECTRON DEVICE LETTERS, Vol. 38 (2017) pp. 771-774, IF=3.048.
  258. Mohammad Maksudur Rahman, Yi-Chia Tsai, Ming-Yi Lee, Akio Higo, Yiming Li, Yusuke Hoshi, Noritaka Usami, Seiji Samukawa, Effect of ALD-Al2O3 Passivated Silicon Quantum Dot Superlattices on p/i/n+ Solar Cells, IEEE TRANSACTIONS ON ELECTRON DEVICES, Vol. 64 (2017) pp. 2886-2892, IF=2.605.
  259. Akio Higo, Takayuki Kiba, Shula Chen, Yafeng Chen, Tomoyuki Tanikawa, Cedric Thomas, Chang Yong Lee, Yi-Chun Lai, Takuya Ozaki, Junichi Takayama, Ichiro Yamashita, Akihiro Murayama, Seiji Samukawa, Optical Study of Sub-10 nm In0.3Ga0.7N Quantum Nanodisks in GaN Nanopillars, ACS Photonics, Vol. 4 (2017) pp. 1851-1857, IF=6.756.
  260. I Adamovich, S D Baalrud, A Bogaerts, P J Bruggeman, M Cappelli, V Colombo, U Czarnetzki, U Ebert, J G Eden, P Favia, D B Graves, S Hamaguchi, G Hieftje, M Hori, I D Kaganovich, U Kortshagen, M J Kushner, N J Mason, S Mazouffre, S Mededovic Thagard, H-R Metelmann, A Mizuno, E Moreau, A B Murphy, B A Niemira, G S Oehrlein, Z Lj Petrovic, L C Pitchford, Y-K Pu, S Rauf, O Sakai, S Samukawa, S Starikovskaia, J Tennyson, K Terashima, M M Turner, M C M van de Sanden and A Vardelle, The 2017 Plasma Roadmap: Low temperature plasma science and technology, Journal of Physics D: Applied Physics, Vol. 50 (2017) pp. 323001 (46pp), IF=2.588.
  261. Fuyumi Hemmi, Cedric Thomas, Yi-Chun Lai, Akio Higo, Yoh Watamura, Seiji Samukawa, Taiichi Otsuji a, Tetsuya Suemitsu, Neutral beam process in AlGaN/GaN HEMTs: Impact on current collapse, Solid-State Electronics, Vol. 137 (2017) pp. 1-5, IF=1.58.
  262. Yi-Chia Tsai, Ming-Yi Lee, Yiming Li, and Seiji Samukawa, Design and Simulation of Intermediate Band Solar Cell With Ultradense Type-II Multilayer Ge/Si Quantum Dot Superlattice, IEEE TRANSACTIONS ON ELECTRON DEVICES, Vol. 64 (2017) pp. 4547-4553, IF=2.605.
  263. Tai-Chen Kuo, Tzu-Lang Shih, Yin-Hsien Su, Wen-Hsi Lee, Michael Ira Current, and Seiji Samukawa, Neutral beam and ICP etching of HKMG MOS capacitors: Observations and a plasma-induced damage model, JOURNAL OF APPLIED PHYSICS, Vol. 123 (2017) pp. 161517 (6pp), IF=2.068.
  264. Akiou Kikuchi, Akifumi Yao, Isamu Mori, Takahito Ono, and Seiji Samukawa, Composite films of highly ordered Si nanowires embedded in SiGe0.3 for thermoelectric applications, JOURNAL OF APPLIED PHYSICS, Vol. 122 (2017) pp. 165302 (5pp), IF=2.068.
  265. Takane Imaoka, Takeru Okada, Seiji Samukawa, and Kimihisa Yamamoto, Room-Temperature Synthesis of GaN Driven by Kinetic Energy beyond the Limit of Thermodynamics, ACS Applied Matelials & Interfaces, Vol. 9 (2017) pp. 41629-41633, IF=7.504.
  266. Yi-Chia Tsai, Yiming Li, and Seiji Samukawa, Physical and electrical characteristics of Si/SiC quantum dot superlattice solar cells with passivation layer of aluminum oxide, Nanotechnology, Vol. 28 (2017) pp. 485401 (9pp), IF=3.44.
  267. Takeo Ohno, Daiki Nakayama, Takeru Okada, Seiji Samukawa, Energy control of neutral oxygen particles passing through an aperture electrode, Results in Physics, Vol. 8 (2018) pp. 169-171, IF=0.946.
  268. Qiuhe Wang, Xijiang Chang, Yoshiyuki Kikuchi, Kumi. Y. Inoue, Tomohiro Kubota, Tomokazu Matsue, Toshihisa Nozawa and Seiji Samukawa, Structure and Electrochemical Properties of Nitrogen Doped Diamond-like Carbon Film Synthesized by Low Temperature Neutral Beam Enhanced Chemical Vapor Deposition, International Journal of Electrochemical Science, Vol. 13 (2018) pp. 1803-1812, IF=1.469.
  269. Takeru Okada, Golap Kalita, Masaki Tanemura, Ichiro Yamashita, M. Meyyappan and Seiji Samukawa, Nitrogen doping effect on flow-induced voltage generation from graphene-water interface, APPLIED PHYSICS LETTERS, Vol. 112 (2018) pp. 023902 (4pp), IF=3.411.
  270. T. Okada, G. Kalita, M. Tanemura, I. Yamashita, M. Meyyappan, and S. Samukawa, Role of doped nitrogen in graphene for flow-induced power generation, Advanced Engineering Materials, (2018) DOI: 10.1002/adem.201800387 (6pp), IF=2.576.
  271. Yafeng Chen, Takayuki Kiba, Junichi Takayama, Akio Higo, Tomoyuki Tanikawa, Shula Chen, Seiji Samukawa,and Akihiro Murayama, Temperature-dependent radiative and non-radiative dynamics of photo-excited carriers in extremely high-density and small InGaN nanodisks fabricated by neutral-beam etching using bio-nano-templates, JOURNAL OF APPLIED PHYSICS, Vol. 123 (2018) p. 204305 (6 pp), IF=2.068.
  272. Chang-Yong Lee, Akio Higo, Cedric Thomas, Takeru Okada, Takuya Ozaki, Masakazu Sugiyama, Yoshiaki Nakano, and Seiji Samukawa, Low-temperature InGaAs oxidation using oxygen neutral beam, Japanese Journal of Applied Physics, Vol. 57 (2018) pp. 070305 (3pp), IF=1.384.
  273. Firman Mangasa Simanjuntak, Takeo Ohno, and Seiji Samukawa, Neutral Oxygen Beam-Treated ZnO-Based Resistive Switching Memory Device, ACS Appl. Electron. Mater, 1 (2019) pp.18-24
  274. Takeru Okada,Golap Kalita,Masaki Tanemura,Ichiro Yamashita,Fumio S Ouchi,M.Meyyappan,Seiji Samukawa, Effects of nitrogen-dopant bonding states on liquid-flow-induced electricity generation of graphene: A comparative study, Results in Physics, Volume 12, March 2019, Pages 1291-1293
  275. Daisuke Ohori, Takuya Fujii, Shuichi Noda, Wataru Mizubayashi, Kazuhiko Endo, En-Tzu Lee, Yiming Li, Yao-Jen Lee, Takuya Ozaki, and Seiji Samukawa, Atomic layer germanium etching for 3D Fin-FET using chlorine neutral beam, Journal of Vacuum Science & Technology A,Vol.37(2019) pp.021003(5pp)
  276. Yi-Chia Tsai,Blanka Magyari-Köpe,Yiming Li,Seiji Samukawa,Yoshio Nishi,and Simon M. Sze, Contact Engineering of Trilayer Black Phosphorus with Scandium and Gold, IEEE Journal of the Electron Devices Society, Volume 7, March 2019, Pages 322 - 328.
  277. Niraj Man Shrestha,Yiming Li,Tetsuya Suemitsu,Seiji Samukawa, Electrical Characteristic of AlGaN/GaN High-Electron-Mobility Transistors With Recess Gate Structure, IEEE Transactions on Electron Devices, Volume: 66 , Issue: 4 , April 2019, Pages 1694 - 1698.
  278. Md Zaman Molla, Denis Zhigunov, Shuichi Noda,and Seiji Samukawa, Structural optimization and quantum size effect of Si-nanocrystals in SiC interlayer fabricated with bio-template, Materials Research Express, Volume:6, 29 March 2019, 065059(8pp)
  279. Bingjie Zou, Xijiang Chang, Jingxia Yang, Shuchuan Wang, Jingli Xu, Shirong Wang,Seiji Samukawa,and Lidong Wang, Plasma treated h-BN nanoflakes as barriers to enhance anticorrosion of acrylic coating on steel, Progress in Organic Coatings, Volume 133, August 2019, Pages 139-144.
  280. Kenji Shiojima, Tetsuya Suemitsu, Takuya Ozaki and Seiji Samukawa, Mapping of damage induced by neutral beam etching on GaN surfaces using scanning internal photoemission microscopy, Japanese Journal of Applied Physics, Volume 58, Number SCCD13(2019)(5pp).
  281. Takuya Fujii,Daisuke Ohori, Shuichi Noda, Yosuke Tanimoto, Daisuke Sato, Hideyuki Kurihara, Wataru Mizubayashi, Kazuhiko Endo, Yiming Li, Yao-Jen Lee, Takuya Ozaki, and Seiji Samukawa, Atomic layer defect-free etching for germanium using HBr neutral beam, Journal of Vacuum Science & Technology, A 37, 051001 (2019)(7pp).
  282. Akio Higo,Takayuki Kiba,Junichi Takayama,Chang Yong Lee,Cedric Thomas,Takuya Ozaki,Hassanet Sodabanlu,Masakazu Sugiyama,Yoshiaki Nakano,Ichiro Yamashita,Akihiro Murayama, and Seiji Samukawa, Photoluminescence of InGaAs/GaAs Quantum Nanodisk in Pillar Fabricated by Biotemplate, Dry Etching, and MOVPE Regrowth, ACS Applied Electric Materials, 2019,1,9,1945-1951.
  283. Jun Zhu,Tokio Takahashi,Daisuke Ohori,Kazuhiko Endo,Seiji Samukawa,Mitsuaki Shimizu,Xue-Lun Wang, Near‐Complete Elimination of Size‐Dependent Efficiency Decrease in GaN Micro‐Light‐Emitting Diodes, physica status solidi, 19 September 2019(6PP).
  284. Niraj Man Shrestha,Prerna Chauhan,Yuen Yee Wong,Yiming Li,Seiji Samukawa,Edward Yi Chang, Low resistive InGaN film grown by metalorganic chemical vapor deposition, Vacuum, Volume 171, January 2020, 108974.
  285. Hua-Hsuan Chen,Susumu Toko,Daisuke Ohori,Takuya Ozaki, Mitsuya Utsuno, Tomohiro Kubota, Toshihisa Nozawa and Seiji Samukawa, Growing low-temperature, high-quality silicon-dioxide films by neutral-beam enhanced atomic-layer deposition, Journal of Physics D, Appl. Phys. 53 (2020) 015204 (8pp).
  286. Firman Mangasa Simanjuntak, Takeo Ohno, and Seiji Samukawa, Influence of rf sputter power on ZnO film characteristics for transparent memristor devices, AIP Advances,9,105216 (2019).
  287. Wook Kim, Takeru Okada, Hyun-Woo Park, Jihye Kim, Sungsoo Kim, Sang-Woo Kim,Seiji Samukawa and Dukhyun Choi, Surface modification of triboelectric materials by neutral beams, J. Mater. Chem. A,7, 25066-25077 (2019), IF=10.788.
  288. Seiji Samukawa, Neutral-Beam Technologies for Novel Nanomaterials and Nanodevices, IEEE Nanotechnology Magazine,vol.13, No.6(2019), pp.21-33.
  289. Tao Xu, Heqing Li, Jing Song, Guilian Wang, Seiji Samukawa, Xijiang Chang, Jingxia Yang, Enhanced Corrosion Resistance of Silicone-Modified Epoxy Coatings by Surface-Wave Plasma Treatment, Int. J. Electrochem. Sci., 14 (2019), p. 5051 (13pp).
  290. D.Ohori, S.Takeuchi, M.Sota, T.lshida, Y.Li, J.-H.Tarng, K.Endo,and S.Samukawa, Highly Water-Repellent Nanostructure on Quartz Surface based on Cassie-Baxter Model with Filling Factor, IEEE Open Journal of Nanotechnology, 1 (2020), p. 1 (5pp).
  291. Daisuke Ohori,Takahiro Sawada,Kenta Sugawara,Masaya Okada,Ken Nakata,Kazutaka Inoue,Daisuke Sato,Hideyuki Kurihara,and S.Samukawa, Atomic-layer etching of GaN by using an HBr neutral beam, Journal of Vacuum Science & Technology A, 38 (2020), p. 032603 (6pp).
  292. Tomoki Harada,Tsubasa Aki,Daisuke Ohori,Seiji Samukawa,Tetsuo Ikari and Atsuhiko Fukuyama, Decreasing of the thermal conductivity of Si nanopillar/SiGe composite films investigated by using a piezoelectric photothermal spectroscopy, Japanese Journal of Applied Physics, 59 (2020), p. SKKA08 (5pp).
  293. Firman Mangasa Simanjuntak,Takeo Ohno,Sridhar Chandrasekaran,Tseung-Yuen Tseng and Seiji Samukawa, Neutral oxygen irradiation enhanced forming-less ZnO-based transparent analog memristor devices for neuromorphic computing applications, Nanotechnology, 31 (2020), p. 26LT01 (8pp).
  294. M. Lee, Y. Li, M. Chuang, D. Ohori and S.Samukawa, Numerical Simulation of Thermal Conductivity of SiNW–SiGe0.3 Composite for Thermoelectric Applications, IEEE Transactions on Electron Devices,67 (2020), p. 2088 (5pp).
  295. Wataru Mizubayashi, Hiroshi Oka, Takahiro Mori, Yuki Ishikawa, S.Samukawa and Kazuhiko Endo, Performance improvement of Ge fin field-effect transistors by post-fin-fabrication annealing, Japanese Journal of Applied Physics, 59 (2020), p. SIIE05 (7pp).
  296. Kexiong Zhang, Tokio Takahashi, Daisuke Ohori, Guangwei Cong, Kazuhiko Endo, Naoto Kumagai, Seiji Samukawa, Mitsuaki Shimizu and Xuelun Wang, High-quality nanodisk of InGaN/GaN MQWs fabricated by neutral-beam etching and GaN regrowth: Towards directional micro-LED in top-down structure, Semiconductor Science and Technology 35 (2020), p. 075001 (9pp).
  297. Xin Huang, Daisuke Ohori, Ryoto Yanagisawa, Roman Anufriev, Seiji Samukawa, and Masahiro Nomura, Coherent and Incoherent Impacts of Nanopillars on the Thermal Conductivity in Silicon Nanomembranes, ACS Applied Materials & Interfaces, 12 (2020), p. 25478 (5pp).
  298. N. Thoti, Y. Li, S. R. Kola and S. Samukawa, "Optimal Inter-Gate Separation and Overlapped Source of Multi-Channel Line Tunnel FETs," IEEE Open Journal of Nanotechnology, 1 (2020), p. 38 (9pp).
  299. Min-Hui Chuang, Daisuke Ohori, Yiming Li,Kuan-Ru Chou,and S. Samukawa, "Fabrication and simulation of neutral-beam-etched silicon nanopillars" Vacuume, 181 (2020), p. 109577 (5pp).
  300. NIRAJ MAN SHRESTHA, YIMING LI, CHAO-HSUAN CHEN, INDRANEEL SANYAL, ENN-HAWN TARNG, JEN-INN CHYI, and S. Samukawa, "Design and Simulation of High Performance Lattice Matched Double Barrier Normally Off AlInGaN/GaN HEMTs" IEEE Journal of the Electron Devices Society,8 (2020), p. 873 (5pp).
  301. Kei Kuriya, Kotaro Ochiai, Golap Kalita, Masaki Tanemura, Atsuki Komiya, Gota Kikugawa, Taku Ohara, Ichiro Yamashita, Fumio S. Ohuchi, M. Meyyappan, S. Samukawa, Katsuyoshi Washio, and Takeru Okada, "Output density quantification of electricity generation by flowing deionized water on graphene" Appl. Phys. Lett,117 (2020), p. 123905 (4pp).
  302. Min-Hui Chuang, Yiming Li and Seiji Samukawa, On the energy band of neutral-beam etched Si/Si0.7Ge0.3 nanopillars, Japanese Journal of Applied Physics, 60 (2021), p. SBBI03 (9pp).
  303. Xuelun Wang and Seiji Samukawa, Damage-free neutral beam etching for GaN micro-LEDs processing, Semiconductors and Semimetals, vol.106(2021), p.203-221 (18pp).
  304. D. Ohori, T. Fujii, S. Noda, W. Mizubayashi, K. Endo, Y. J. Lee, H. H.Tarng, Y. Li and S. Samukawa, High Electron Mobility Germanium FinFET fabricated by Atomic Layer Defect-free and Roughness-free Etching, IEEE Open Journal of Nanotechnology, 2 (2021), p.26 (5pp).
  305. Sou Takeuchi, Daisuke Ohori, Masahiro Sota, Teruhisa Ishida, Yiming Li, Jenn-Hwan Tarng, Kazuhiko Endo, and S. Samukawa, Surface wettability of silicon nanopillar array structures fabricated by biotemplate ultimate top-down processes, Journal of Vacuum Science & Technology A, 39 (2021), p. 023202 (9pp).
  306. AN-CHEN LIU, KONTHOUJAM JAMES SINGH, YU-MING HUANG, TANVEER AHMED, FANG-JYUN LIOU, YU-HAU LIOU, CHAO-CHENG TING, CHIEN-CHUNG LIN, YIMING LI, SEIJI SAMUKAWA, AND HAO-CHUNG KUO, Increase in the Efficiency of III-Nitride Micro-LEDs: Atomic-layer deposition and etching, IEEE NANOTECHNOLOGY MAGAZINE, JUNE (2021), p.02-18 (17pp).
  307. Daisuke Ohori, Takahiro Sawada, Kenta Sugawara, Masaya Okada, Ken Nakata, Kazutaka Inoue, Daisuke Sato, and Seiji Samukawa, Selective atomic layer reaction between GaN and SiN in HBr neutral beam etching, Journal of Vacuum Science & Technology, A 39, 042601 (2021), (5pp).
  308. DHalubai Sekhar, Tetsuo Fukuda, Tomohiro Kubota, Mohammad Maksudur Rahman, Hidetaka Takato, Michio Kondo and Seiji Samukawa, Advanced damage-free neutral beam etching technology to texture Si wafer with honeycomb pattern for broadband light trapping in photovoltaics, Journal of Materials Science: Materials in Electronics,(2021), (13pp).
  309. Daisuke Ohor, Min-Hui Chuang, Asahi Sato, Sou Takeuchi, Masayuki Murata, Atsushi Yamamoto, Ming-Yi Lee, Kazuhiko Endo, Yiming Li, Jenn-Hwan Tarng, Yao-Jen Lee, and Seiji Samukawa, Management of Phonon Transport in Lateral Direction for Gap-controlled Si Nanopillar/SiGe Interlayer Composite Materials, IEEE Open Journal of Nanotechnology,(2021), doi: 10.1109/OJNANO.2021.3131165,(5pp).
  310. Shu-Wei Chang, Tsung-Han Lu, Cong-Yi Yang, Cheng-Jui Yeh, Min-Kun Huang, Ching-Fan Meng, Po-Jen Chen, Ting-Hsuan Chang, Yan-Shiuan Chang, Jhe-Wei Jhu, Tzu-Chieh Hong, Chu-Chu Ke, Xin-Ren Yu, Wen-Hsiang Lu, Mohammed Aftab Baig, Ta-Chun Cho, Po-Jung Sung, Chun-Jung Su, Fu-Kuo Hsueh,Bo-Yuan Chen, Hsin-Hui Hu , Chien-Ting Wu, Kun-Lin Lin , William Cheng-Yu Ma ,Darsen D. Lu , Kuo-Hsing Kao ,Yao-Jen Lee , Cheng-Li Lin, Kun-Ping Huang, Kun-Ming Chen, Yiming Li, Seiji Samukawa, Tien-Sheng Chao, Guo-Wei Huang, Wen-Fa Wu, Wen-Hsi Lee, Jiun-Yun Li, Jia-Min Shieh, Jenn-Hwan Tarng, Yeong-Her Wang, and Wen-Kuan Yeh, First Demonstration of Heterogeneous IGZO/Si CFET Monolithic 3-D Integration With Dual Work Function Gate for Ultralow-Power SRAM and RF Applications, IEEE TRANSACTIONS ON ELECTRON DEVICES,January (2022), doi: 10.1109/TED.2021.3138947,(7pp).
  311. Beibei Ge, Daisuke Ohori, Yi-Ho Chen, Takuya Ozaki, Kazuhiko Endo, Yiming Li, Jenn-Hwan Tarng, and Seiji Samukawa, Room-temperature and high-quality HfO2/SiO2 gate stacked film grown by neutral beam enhanced atomic layer deposition, J.Vac.Sci.Technol.A,February (2022), doi: 10.1116/6.0001607,(8pp).
  312. Yu-Hsiang Chang, Cheng-Hung Hsieh, Shi-Peng Cheng, Yiming Li, Seiji Samukawa, Tzong-Lin Wu and Zuo-Min Tsai,A 0.6-dB Low Loss and 3-165 GHz Wideband Phase Difference Sub-THz Coupler in 0.18-μm CMOS, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS,Volume: 32 (2022), doi:10.1109/LMWC.2022.3149917,(4pp).
  313. Firman Mangasa Simanjuntak, Takeo Ohno, Kana Minami and Seiji Samukawa,Transparent ZnO resistive switching memory fabricated by neutral oxygen beam treatment, Japanese Journal of Applied Physics,Volume: 61,SM1010(2022), doi:10.35848/1347-4065/ac762e,(4pp).
  314. I Adamovich, S Agarwal, E Ahedo, L L Alves, S Baalrud, N Babaeva, A Bogaerts, A Bourdon, P J Bruggeman, C Canal, E H Choi, S Coulombe, Z Donkó, D B Graves, S Hamaguchi, D Hegemann, M Hori, H-H Kim, G M W Kroesen, M J Kushner, A Laricchiuta, X Li, T E Magin, S Mededovic Thagard, V Miller, A B Murphy, G S Oehrlein, N Puac, R M Sankaran, S Samukawa, M Shiratani, M Šimek, N Tarasenko, K Terashima, E Thomas Jr, J Trieschmann, S Tsikata, M M Turner, I J van der Walt, M C M van de Sanden and T von Woedtke,The 2022 Plasma Roadmap: low temperature plasma science and technology, Journal of Physics D: Applied Physics,Volume: 55,(2022)373001, doi.org/10.1088/1361-6463/ac5e1c,(55pp).
  315. C.-H.Hsieh, T.-C.Hong, C.-Y.Yang, Y.-H.Chen, X.-R.Yu, W.-H.Lu, R.W.Chuang, Z.-M.Tsai, Y.-J.Lee, Y.Li, W.-F.Wu, T.-S.Chao, S Samukawa, Y.-H.Wang, W.-K.Yeh, J.-H.Tarng, A Harmonic Radar Tag with High Detection Range Utilizing Ge FinFETs CMOS Technology, IEEE Electron Device Letters, 2022, doi: 10.1109/LED.2022.3206604.
  316. S Samukawa, Emerging Plasma Nanotechnology Atomic Layer Technologies for Nano Materials and Devices, IEEE Open Journal of Nanotechnology, vol.3, pp.133-148,2022, doi: 10.1109/OJNANO.2022.3217806.
  317. Roman Anufriev, Daisuke Ohori, Yunhui Wu, Ryoto Yanagisawa, Laurent Jalabert, Seiji Samukawa and Masahiro Nomura, Impact of nanopillars on phonon dispersion and thermal conductivity of silicon membranes, The Royal Society of Chemistry 2023, doi.org/10.1039/D2NR06266F.
  318. Tomoki Harada, Daisuke Ohori, Kazuhiko Endo, Seiji Samukawa, Tetsuo Ikari,and Atsuhiko Fukuyama, Lifetime of photoexcited carriers inspace-controlled Si nanopillar/SiGe composite films investigated by a laser heterodyne photothermal displacement method, J.Appl.Phys.133, (2023).125703-1(8pp).
  319. Daisuke Ohori, Takahiro Ishihara, Xuelun Wang, Kazuhiko Endo, Tsou-Hwa Hsieh, Yiming Li, Nobuhiro Natori, Kazuma Matsui and Seiji Samukawa, Hydrogen iodide (HI) neutral beam etching characteristics of InGaN and GaN for micro-LED fabrication, Nanotechnology,34, (2023).365302(8pp).
  320. Yi-Ho Chen, Daisuke Ohori, Muhammad Aslam, Yao-Jen Lee, Yiming Li, and Seiji Samukawa, Enhancing the Performance of E-mode AlGaN/GaN HEMTs with Recessed Gates through Low-Damage Neutral Beam Etching and Post-Metallization Annealing, IEEE Open Journal of Nanotechnology, DOI 10.1109/OJNANO.2023.3306011(6pp).
  321. Aditya Saha, Ryuji Oshima, Daisuke Ohori, Takahiko Sasaki, Hirokazu Yano, Hidenori Okuzaki , Takashi Tokumasu, Kazuhiko Endo and Seiji Samukawa, Effect of Interfacial Oxide Layers on Self-Doped PEDOT/Si Hybrid Solar Cells, Energies 2023, 16(19), 6900; https://doi.org/10.3390/en16196900 (14PP).
  322. Tai-Ze Wu, Chen-Rong Jian, Mani Govindasamy, Yen-Cheng Li, Yi-Ting Lin, Ching-Yuan Su, Seiji Samukawa and Chi-Hsien Huang, Crumpled graphene induced by commercial Heat-Shrinkable material for chemiresistive biosensors toward cancer biomarker detection, Microchemical Journal (2023), doi: https://doi.org/10.1016/j.microc. 2023.109469(20pp).
  323. Xuelun Wang, Xixi Zhao, Tokio Takahashi, Daisuke Ohori, and Seiji Samukawa, 3.5×3.5 μm2 GaN blue micro-light-emitting diodes with negligible sidewall surface nonradiative recombination, Nature Communications Vol.14, Article number:7569(2023), https://doi.org/10.1038/s41467-023-43472-z(8pp).

 

(2) 学位論文

      「電子サイクロトロン共鳴プラズマ法による半導体サブミクロン加工に関する研究」
      博士(工学、慶應義塾大学)平成4年2月5日

 

(3) 総合報告、解説論文等

  1. 寒川誠二, タイムモジュレーションプラズマによる高精度エッチング, 核融合学会誌, Vol. 71, pp.690-696 (1995).
  2. 寒川誠二, 特集VLSIプロセス技術の最先端、"サブミクロンを加工し埋めるプラズマプロセス技術”, 電気学会誌, Vol. 117, pp.98-102 (1997).
  3. 寒川誠二, パルス変調プラズマ=プラズマの制御とそのプロセスへの展開, 応用物理学会誌, Vol. 66, pp.550-558 (1997).
  4. 寒川誠二, UHFプラズマ, 核融合学会誌, Vol. 74, pp.354-360 (1998).
  5. 寒川誠二, 環境共生型・新ガスケミストリーによる高精度シリコン酸化膜エッチング, 応用物理学会誌, Vol. 70, pp.433-438 (2001).
  6. 寒川誠二, プラズマエッチングと電子エネルギー分布関数, 核融合学会誌, Vol. 77, pp. (2001).
  7. 寒川誠二, 中性粒子ビームによるダメージフリー高精度プロセス, 応用物理学会誌, Vol. 72, pp.1536-1540 (2003).
  8. 寒川誠二, プラズマのメカニズム極めて、新材料メモリー、CCDの課題を克服, 日経マイクロデバイス, Vol. 5月号, pp.87-94 (2004).
  9. 寒川誠二, 新しいビームプロセスによるトップダウン加工=究極のトップダウン加工を目指して=, 表面科学, Vol. 10, pp.618-627 (2004).
  10. 寒川誠二, 損傷を抑える中性粒子ビーム加工、32nm以降のデバイス特性を改善, 日経マイクロデバイス, Vol. 2月号, pp.81-84 (2006).
  11. Seiji Samukawa, Hiroto Ohtake, and Tetsu Mieno, Pulse-time-modulated ECR plasma discharge for highly selective, highly anisotropic and charge-free etching, NEC research and development, Vol. 37, pp.179-190 (1996).
  12. Yukihiro Ochiai, Shouko Manako, Seiji Samukawa, Kiyoshi Takeuchi and Toyoji Yamamoto, Nano-electron beam lithography system and its application for 40-nm gate MOSFETs, NEC research and development, Vol. 37, pp.160-169 (1996).
  13. Seiji Samukawa and Toshiki Nakano, New UHF plasma source for large scale etching processes, NEC research and development, Vol. 37, pp.317-324 (1996).
  14. Seiji Samukawa, Ken-ichiro Tsuda and Tomonori Mukai, New Radical Injection Method for High-performance Dielectric Etching, NEC research and development, Vol. 41, pp.43-50 (2000).
  15. 寒川誠二, 超高精度プラズマ加工が拓く先端ナノデバイス, 精密工学会誌, Vol. 73, pp.993-998 (2007).
  16. 寒川誠二, プラズマエッチングプロセスの進展と今後の展開, 電気学会誌, Vol. 128, pp.166-168 (2008).
  17. 寒川誠二, 革新的ナノデバイス実現のための中性粒子ビームプロセス, 応用物理, Vol. 77, pp.311-315 (2008).
  18. 寒川誠二, パルス時間変調プラズマによる損傷フリー微細・磁性膜エッチング技術, Journal of Vacuum Society of Japan, Vol. 51, pp.594-598 (2008).
  19. 寒川誠二, 超微細エッチング技術の最新動向 ダメージ問題を解決する中性粒子ビーム技術32nm世代以降の超高集積化実現へ新たな糸口, Semiconductor PFD World, Vol. 28, pp.76-78 (2009).
  20. 遠藤和彦, 寒川誠二, SSDM2009国際学会報告会, 電子材料, Vol. 48, pp.76-77 (2009).
  21. 寒川誠二, 超低損傷・高精度エッチング技術, 超LSI製造・試験装置ガイドブック2010年版 電子材料 別冊, Vol. , pp.29-34 (2009).
  22. 寒川誠二, 超低損傷・高精度エッチング技術 Super-Low-Damage and Precise Top-down Etching Processes, P-SCD360 大気圧プラズマ流による人間環境保全技術に関する研究分科会 成果報告書, 社団法人 日本機械学会, Vol. , pp.79-83 (2009).
  23. 寒川誠二, 材料の構造設計可能な低温・低損傷・中性粒子ビーム励起堆積技術, 応用物理, Vol. 79, pp.832-835 (2010).
  24. 寒川誠二, ナノマテリアルの本質を導き出す超低損傷微細加工技術の開発, 日本MRSニュース, Vol. 23, pp.1 (2011).
  25. 寒川誠二, 高効率シリコン量子ドット太陽電池実現のための量子ナノ構造作製プロセス - エネルギー変換効率45%超太陽電池への期待 -, 未来材料, Vol. 12, pp.31-36 (2012).
  26. 寒川誠二, タンパク質と中性粒子ビーム加工技術の融合で変換効率40%超が可能な画期的太陽電池, SMBCマネジメントプラス, Vol. 9月号, pp.9-11 (2013).
  27. 寒川誠二, 中性粒子ビーム加工プロセス技術による欠陥のないエッジ構造をもつグラフェンナノリボンの実現, 化学工業, Vol. 2, pp.36-39 (2014).
  28. 寒川誠二, 中性粒子ビームプロセスによる原子層レベルの表面欠陥抑制と表面科学反応の制御 超低損傷プロセスによるインテリジェントナノプロセスの構築, 応用物理, Vol. 83, pp.894-899 (2014).
  29. 寒川誠二, 中性粒子ビームによる原子層加工・堆積プロセス, 光アライアンス, Vol. 27, pp.28-34 (2016).
  30. 寒川誠二, 最先端微細加工技術~中性粒子ビームによる原子層レベル微細加工~, 光技術コンタクト, Vol. 54, pp.19-27 (2016).
  31. 寒川誠二, 遷移金属・磁性体の反応性エッチング技術:原子層エッチングによる表面化学反応制御, まぐね/Magnetics Jpn., Vol. 12, pp.121-125 (2017).

 

(4) 専門書執筆

  1. 高密度プラズマ応用技術(リアライズ社, ISBN4-947655-62-3, 1993):分担執筆
  2. ULSIデバイスプロセス技術(電子情報通信学会, ISBN 978-4-88552-274-1, 1994):分担執筆
  3. 半導体デバイスプロセスにおけるチャージアップダメージ(リアライズ社, ISBN-10: 489808074X, ISBN-13: 978-4898080740, 1995):分担執筆
  4. 先端材料の化学(化学刊行会, , 1996):分担執筆
  5. 電子イオンビームハンドブック(日刊工業新聞社, ISBN-10: 4526042471, ISBN-13: 978-4526042478, 1997):分担執筆
  6. ウエーハ表面完全性の創成評価技術(サイエンスフォーラム社, ISBN4-916164-14-8, 1998):分担執筆
  7. 次世代ULSIプロセス技術(リアライズ社, , 1999):分担執筆
  8. 半導体大事典(工業調査会, ISBN4-7693-7082-2, 2000):分担執筆
  9. 応用物理ハンドブック(丸善, , 2001):分担執筆
  10. 薄膜作成応用ハンドブック(NTS, ISBN 4-86043-019-0, 2003):分担執筆
  11. エッチング技術の最新動向(EDリサーチ社, ISBN 4-901790-12-9, 2002):分担執筆
  12. 初歩から学ぶマイクロ波応用技術(マイクロ波応用技術研究会, ISBN 978-4-76931-229-1, 2004):分担執筆
  13. バイオナノプロセス - 溶液中でナノ構造を作るウェット・ナノテクノロジーの薦め -(シーエムシー出版, ISBN 978-4-88231-995-5, 2008):分担執筆
  14. マイクロ・ナノデバイスのエッチング技術(シーエムシー出版, ISBN 978-4-7813-0167-9, 2009):分担執筆
  15. マイクロ・ナノ領域の超精密技術(オーム社, ISBN 978-4-274-21005-1, 2011):分担執筆
  16. 超高効率太陽電池・関連材料の最前線(シーエムシー出版, ISBN 978-4-7813-0310-9, 2011):分担執筆
  17. 計測・モニタリング技術 - 化学計測・計装の最先端とその応用 -(シーエムシー出版, ISBN 978-4-7813-0406-9, 2011):分担執筆
  18. ナノエレクトロニクスにおける絶縁超薄膜技術 - 成膜技術と膜・界面の物性科学(NTS, ISBN 978-4-86469-039-3, 2012):分担執筆
  19. 新版 ULSIデバイス・プロセス技術(電子情報通信学会, ISBN 978-4-88552-274-1, 2013):分担執筆
  20. Feature Profile Evolution in Plasma Processing Using On-wafer Monitoring System(Springer, ISBN 978-4-431-54795-2, 2014):Seiji Samukawa
  21. Iodine Chemistry and Applications(John Wiley & Sons, ISBN 978-1-118-46629-2, 2015):分担執筆
  22. Intelligent Nanosystems for Energy, Information and Biological Technologies(Springer, ISBN 978-4-431-56429-4, 2016):分担執筆
  23. 半導体微細パターニング=限界を超えるポスト光リソグラフィ技術=(株式会社エヌ・ティー・エス, ISBN 978-4-86043-467-0 C3050, 2017):分担執筆
  24. Silicon Nanomaterials Sourcebook: Hybrid Materials, Arrays, Networks, and Devices, Volume Two(CRC Press, ISBN 9781498763783 - CAT# K28987, 2017):分担執筆
  25. 2020版薄膜作成応用ハンドブック(NTS, ISBN:978-4-86043-631-5 C3058, 2020)
  26. 超撥水・超撥油・滑液性表面の技術(第2巻)(サイエンス&テクノロジー㈱ ISBN:978-4-86428-250-5, 2021):分担執筆
  27. Semiconductors and Semimetals Vol.106, Damage-free neutral beam etching for GaN micro-LEDs processing (Elsevier, ISSN:0080-8784,DOI:10.1016/bs.semsem.2020.12.001, 2021):分担執筆
  28. 電子イオンビームハンドブック第4版 学振132委員会, ISBN 978-4-600-00624-2, 2021):分担執筆

 

(5) 国際会議講演論文(一般講演、招待講演)

  1. Seiji Samukawa and Kouhei Eguchi, The influence of carbonaceous polymer on leakage current in trench isolation, 8th Symposium on plasma processing,, pp.98-99 (Atlanta, 1988).
  2. Seiji Samukawa and Kohei Eguchi, Relation between Al-4%Cu etching condition and post-corrosion, 1st International microprocess conference, Digests of paper, (Tokyo, 1988).
  3. Seiji Samukawa, Damage caused by stored charge during ECR plasma etching, 2nd International Symposium on ULSI science and technology, (Los Angeles, 1989).
  4. Seiji Samukawa, Mark Stark, Chung. W. Chow and Shu Nakajima, Post-treatment to prevent corrosion of plasma etched Al-Si-4%Cu, 2nd International Symposium on ULSI science and technology, (Los Angeles, 1989).
  5. Seiji Samukawa, Masami Sasaki, Yasuhiro Suzuki and Sumio Mori, ECR position etching for high selectivity and high rate n+ poly-Si patterning, International Symposium on VLSI technologies, International Symposium on VLSI technologies, pp.1-2 (Hawaii, 1990).
  6. Seiji Samukawa, Perfect selective, highly anisotropic, and high rate ECR plasma etching for n+ poly-Si and WSix/poly-Si, International conference on solid state devices and materials (SSDM) Extended abstract of 22nd SSDM, pp.207-208 (Sendai, 1990).
  7. Seiji Samukawa, Super-ECR plasma etching technology for 64 Mbit DRAM, 3rd International symposium on ULSI science and technology, Extended Abstracts of 179th ECS meeting, pp.517 (Washington, 1991).
  8. (招待講演) Seiji Samukawa, ECR plasma etching technology for ULSIs, 1991 Materials Research Society (MRS) Spring Meeting, pp.97 (Anaheim, 1991).
  9. (招待講演) Seiji Samukaw, ECR etching technology, 17th Tegal International plasma seminar, (San Francisco, 1991).
  10. Seiji Samukawa,Tomohiko Toyosato and Etsuo Wani, 400kHz RF biased ECR position etching technology, 4th International microprocess conference, 4th International microprocess conference, Proceedings, JJAP series 5, pp.192-193 (Kanazawa, 1991).
  11. Seiji Samukawa and Tsuyoshi Nakamura, The effects of magnetic field profile at the ECR position in ECR plasma, 4th International microprocess conference, 4th International microprocess conference, pp.199-200 (Kanazawa, 1991).
  12. (招待講演) Seiji Samukawa, Optimally stable ECR plasma generation for precise ULSI patterning, 1995 SPIE Fall meeting,, pp.202 (San Jose, 1992).
  13. Seiji Samukawa, Tsuyoshi Nakamura, Toshinori Ishida, and Akihiko Ishitani, Optimally Stable ECR plasma generation for precise ULSI patterning, 5th International microprocess conference, pp.90-91 (Kawasaki, 1992).
  14. Seiji Samukawa, Tsuyoshi Nakamura and Akihiko Ishitani, Optimally uniform ECR plasma generation for precise patterning, 9th International symposium on plasma processing,Extended Abstract of ECS Meeting, pp.105-106 (St. Louis, 1992).
  15. Tsuyoshi Nakamura, Seiji Samukawa, Toshinori Ishida, Akihiko Ishitani, and Yutaka Kawase,, High performance multi-coil system for ECR plasma generation, , 5th International microprocess conference, pp.204-205 (Kawasaki, 1992).
  16. (招待講演) Seiji Samukawa, Advanced ECR plasma etching technology for precise ULSI patterning, 1993 American vacuum society (AVS) topical conference, 2nd International workshop on high-density plasmas and applications, (San Francisco, 1993).
  17. Seiji Samukawa, Time-modulated ECR plasma discharge for controlling generation of reactive species, 6th International microprocess conference, pp.118-119 (Hiroshima, 1993).
  18. (招待講演) Seiji Samukawa, Pulse-time modulated ECR plasma etching technology for precise ULSI patterning, 2nd International conference on reactive plasmas, III-3 (Yokohama,, 1994).
  19. Seiji Samukawa and Kazuo Terada, Pulse-time modulated ECR plasma etching for highly selective,highly anisotropic and charge-less poly-Si gate patterning,, 1994 International Symposium on VLSI Technologies, pp.27-28 (Hawaii, 1994).
  20. (招待講演) Seiji Samukawa, Pulse-time modulated ECR plasma etching for highly selective, highly anisotropic and charge-less gate patterning, International conference on photon, electron, ion beams, Paper from 38th International Conference on Photon, Electron and Ion Beams, pp.3300-3305 (New Orleans, 1994).
  21. (招待講演) Seiji Samukawa, Pulse-time modulated ECR plasma etching for precise patterning, 26th International conference on solid state devices and materials (SSDM), Extended Abstracts of the 1994 SSDM , pp.718-719 (Yokohama, 1994).
  22. Seiji Samukawa, Yukito Nakagawa, Tsutomu Tsukada, Hiroyuki Ueyama and Kibatsu Shinohara, New UHF plasma discharge for large scaled etching processes, 8th International microprocess conference, pp.150-151 (Sendai, 1995).
  23. (招待講演) Seiji Samukawa, Pulse-time modulated plasma discharge for overcoming limitation of etching processes, International workshop on plasma source and surface interactions, pp.5 (Yamanashi, 1995).
  24. (招待講演) Seiji Samukawa and Toshiki Nakano, New UHF plasma source for large scaled etching processes, 1995 American vacuum society national meeting, Extend Abstracts of AVS Meeting, pp.195 (Minneapolis, 1995).
  25. (招待講演) Seiji Samukawa, Pulse-time modulated plasma etching for precise ULSI patterning, 189th meeting of The Electrochemical Society, Extended Abstract of 189th ECS Meeting, pp.217 (Los Angeles, 1996).
  26. Ayumi Yokozawa and Seiji Samukawa, Simulation for the variations in the negative ion density in a pulse-time-modulated plasma, 189th meeting of The Electrochemical Society, Extended Abstract of 189th ECS Meeting, pp.219 (Los Angeles, 1996).
  27. Toshiki Nakano and Seiji Samukawa, Ion and Neutral Temperature in Novel UHF plasma source, 189th meeting of The Electrochemical Society, Extended Abstract of 189th ECS Meeting, pp.228 (Los Angeles, 1996).
  28. Yasushi Nakahara, Kiyoshi Takeuchi, Toru Tatsumi, Yukinori Ochiai, Shoko Manako, Seiji Samukawa and Akio Furukawa, Ultra-shallow in-situ-doped raised source/drain structure for sub-tenth micron CMOS, 1996 International Symposium on VLSI Technology, pp.174-175 ( Hawaii, 1996).
  29. Seiji Samukawa, Hiroto Ohtake and Tsutomu Tsukada, Low frequency biased UHF plasma etching, The 9th international microprocess conference, pp.50-51 (Kokura, 1996).
  30. Tetsu Mieno, Seiji Samukawa and Ayumi Yokozawa, Properties of strongly electronegative plasma produced at afterglow of ECR chlorine plasma, The 5th International Symposium on Double Layers-Potential Formation and Related Nonlinear Phenomena in Plasmas, (Sendai, 1996).
  31. Seiji Samukawa, Tetsu Mieno and Hiroto Ohtake, The Effects of Magnetic Fields for Generation of Negative Ions in Pulse-time Modulated Plasma, 1996 American Vacuum Society National Symposium, Extended Abstract of AVS meeting, pp.84 (Philadelphia, 1996).
  32. (招待講演) Toshiki Nakano and Seiji Samukawa, Ion and Neutral Temperature in Various High Density Plasma, 49th Gaseous Electronics Conference, Bulletin of the American Physical Society,, pp.1306 (Illinois, 1996).
  33. (招待講演) Seiji Samukawa, A New Etching Method using Positive and Negative Ions in Pulse-time-modulated plasma, 3rd International Conference on Reactive Plasmas, Conference Proceedings , pp.513-514 ( Nara, 1997).
  34. (招待講演) Seiji Samukawa and Toshiki Nakano, Advanced Plasma Sources and Precise Etching Processes for Future ULSIs, 2nd International Conference on Diagnostics of Plasma, pp.57-58 (Bad Honnef, 1997).
  35. Seiji Samukawa and Tsutomu Tsukada, Effects of Discharge Frequency on Ion Current density and etching Characteristics in High Density Plasma, 1997 International Conference on MicroProcess and Nanotechnology, pp.50-51 (Nagoya, 1997).
  36. Haruaki Akashi and Seiji Samukawa, Dependence of Electron Energy Distributions on Pressure in Low Pressure UHF Plasma, 1997 International Conference on MicroProcess and Nanotechnology, pp.52-53 (Nagoya, 1997).
  37. Toshiki Nakano and Seiji Samukawa, Doppler-Shifted Laser-Induced Fluorescence Diagnostics of Cl2 UHF Plasma, 1997 International Conference on MicroProcess and Nanotechnology, pp.54-55 (Nagoya, 1997).
  38. Yukito Nakagawa, Hiroshi Nogami, Seiji Samukawa and Tsutomu Tsukada, Plasma and SiO2 Characteristics Using New type Spokewise Antenna in UHF plasma, 1997 International Conference on MicroProcess and Nanotechnology, pp.56-57 (Nagoya, 1997).
  39. (招待講演) Seiji Samukawa, Effects of Discharge Frequency and UHF Plasma Source for Precise Etching Processes, 50th Gaseous Electronics Conference, Bulletin of the American Physical Society, pp.1725 (Wisconsin, 1997).
  40. Toshiki Nakano and Seiji Samukawa, Diagnostics and simulation study on the relation between EEDF and etching characteristics of UHF plasma, 1997 American Vacuum Society National Symposium Extended Abstracts of AVS , pp.70. (San Jose, 1997).
  41. Haruaki Akashi and Seiji Samukawa, Pressure Dependence of Electron Energy Distribution Functions in Low Pressure UHF Plasma, 50th Gaseous Electronics Conference, Bulletin of the American Physical Society, pp. 1744 (Wisconsin, 1997).
  42. Yasuo Yamamoto, Ryouhei Yoshida, Masafumi Ito, Masaru Hori, Seiji Samukawa, Tsutomu Tsukada and Toshio Goto, Measurements of Si atom density in UHF silane plasma, 50th Gaseous Electronics Conference, Bulletin of the American physical Society, pp. 1753 (Wisconsin, 1997).
  43. (招待講演) Seiji Samukawa, Role of negative ions for high performance etching in pulse-time-modulated plasma, 4th International conference on reactive plasma/51st Gaseous Electronics Conference, Bulletin of the American Physical Society, pp. 1510 (Maui, 1998).
  44. Seiji Samukawa and Ken-ichiro Tsuda, New Radical Injection Method for SiO2 Etching with Non-Perfluorocompound Gas Chemistries, 4th International conference on reactive plasma/51st Gaseous Electronics Conference, Bulletin of the American Physical Society, pp. 1411 (Maui, 1998).
  45. Ken-ichiro Tsuda, Hiroto Ohtake and Seiji Samukawa,, Theoretical study on the reactivity of negative ions in high performance etching by using a pulse-time modulated plasma, 4th International conference of reactive plasma/51st Gaseous Electronics Conference, Bulletin of the American Physical Society, pp. 1509 (Maui, 1998).
  46. Toshiki Nakano and Seiji Samukawa, Effects of Ar dilution on the optical emission spectra of fluorocarbon ultra-high frequency plasmas, 4th International conference of reactive plasma/51st Gaseous Electronics Conference, Bulletin of the American Physical Society, pp. 1506 (Maui, 1998).
  47. (招待講演) Yukito Nakagawa, Tsutomu Tsukada and Seiji Samukawa, Ultrahigh Frequency plasma for large-scale etching processes, 4th International conference of reactive plasma/51st Gaseous Electronics Conference, Bulletin of the American Physical Society, pp. 1509. (Maui, 1998).
  48. (招待講演) Seiji Samukawa, Recent Trends and Present Issue for Precise ULSI Patterning, 19th Summer School and International Symposium on the Physics of Ionized Gases, pp. 315 (, 1998).
  49. Seiji Samukawa, Hiroto Ohtake and Ko Noguchi, Charge-free and Dopant Dependence-Free by Non-Mawellian Electron Energy Distributions in UHF Plasma, 1998 International Conference on Solid State Device and Materials (SSDM), Extended Abstracts of SSDM, pp. 20 ( Hiroshima, 1998).
  50. Vincent M. Donnelly, Mikhail V. Malyshev and Seiji Samukawa, Comparison of Cl and Cl2 concentrations and electron temperatures measured by trace rare gases optical emission spectroscopy. =UHF vs. ICP=, 1998 American Vacuum Society National Symposium, Extended Abstracts of AVS, pp. 31 (Baltimore, 1998).
  51. Mikhail V. Malyshev, Vincent M. Donnelly and Seiji Samukawa, Diagnostics of pulsed plasmas and use of pulsed plasma as a diagnostics tool, 1998 American Vacuum Society National symposium, Extended Abstracts of AVS, pp. 67 (Baltimore, 1998).
  52. Seiji Samukawa, Tomonori Mukai and Ken-ichiro Tsuda, Proposal of new gas chemistries for high performance SiO2 etching in high density, low pressure plasma, International Workshop on Development of Thin Film for Future ULSI’S and Nano-Scale Process Integration, (Nagoya, 1998).
  53. Seiji Samukawa, Ko Noguchi, Mikhail V. Malyshev, Vincent M. Donnelly and Jennifer Collonel, Charge-free Al electrode etching in pulse-time-modulated ICP, 197th meeting of The Electrochemical Society, Extended Abstract of 197th ECS Meeting, pp. 249. (Seattle, 1999).
  54. (招待講演) Seiji Samukawa, Tomonori Mukai and Ken-ichiro Tsuda, New Radical Control Method for High-performance SiO2 etching, 197th spring meeting of The Electrochemical Society, Extended Abstract of 197th ECS Meeting , pp. 246. (Seattle, 1999).
  55. (招待講演) Mikhail V. Malyshev, Vincent M. Donnelly, Jennifer I. Colonell, and Seiji Samukawa, Plasma Diagnostics and Charging Damage, 4th International Symposium on Plasma Process-Induced Damage, Proceedings of P2ID, pp. 149 (Monterey, 1999).
  56. Hiroto Ohtake, Seiji Samukawa, Ko Noguchi, Hidekazu Iida, Arthur Sato and Xue-yu Qian, Trenching-free and topography-dependent-charging-free Gate-electrode Patterning on a Thin Gate Oxide by Pulse-time-modulated Plasma Etching, 4th International Symposium on Plasma Process-Induced Damage, Proceedings of P2ID , pp. 37 (Monterey, 1999).
  57. Seiji Samukawa, Tomonori Mukai and Ko Noguchi, New Radical Injection Method for High-Performance and Chargeless Dielectric Etching, 1999 International Symposium on VLSI Technology, Digests of Technical papers, pp. 125-126 (Kyoto, 1999).
  58. (招待講演) Seiji Samukawa and Tomonori Mukai, New Gas Chemistries for Control of Dissociation and Ionization in Fluorocarbon Plasmas, International Symposium on Electron-Molecule Collisions and Swarms, Abstracts of EMS 99, pp. 76 (Tokyo, 1999).
  59. (招待講演) Seiji Samukawa, High-performance and damage-free plasma etching for future ULSI patterning, International conference on Micro and Nano-Engineering 99, (Rome, 1999).
  60. Seiji Samukawa and Tomonori Mukai, Chemical Bonding Arrangement Approach for Selective Radical Generation in High Density Fluorocarbon Plasma, AVS 46th International Symposium, (Seattle, 1999).
  61. (招待講演) Ko Noguchi, Seiji Samukawa, Hiroto Ohtake and Tomonori Mukai, Characterization of Process-induced Damage in scaled-down Devices and Reliability Improvement using Time-Modulated Plasma, AVS 46th International Symposium, (Seattle, 1999).
  62. (招待講演) Mikhail V. Malyshev, Vincent M. Donnelly, Jennifer I. Colonel and Seiji Samukawa, Power Modulated Inductively Coupled Plasmas, AVS 46th International Symposium, (Seattle, 1999).
  63. Ken Tokashiki, Eiichi Soda, Hiroto Ohtake, Seiji Samukawa and Hironobu Miyamoto, Effects of Pulse Duration on Charging Damage in Pulse Modulated Inductively Coupled Plasma Metal Etching Tool, The 196th fall meeting of the Electrochemical Society, (Honolulu, 1999).
  64. (招待講演) Seiji Samukawa, New radical control method for high-performance SiO2 etching, International Workshop on Basic Aspects of Non-equilibrium Plasmas Interacting with Surfaces, (Nagasaki, 2000).
  65. (招待講演) Seiji Samukawa, High-Performance Silicon Dioxide Etching for High-Aspect Contact Holes, AVS 47th International Symposium, (Boston, 2000).
  66. Seiji Samukawa, High-Performance Silicon Dioxide Etching for High-Aspect Contact Holes, Taiwan Dikin Seminar, (Hsin Chu, 2001).
  67. Seiji Samukawa, Keisuke Sakamoto and Katsunori Ichiki, High Performance Neutral Beam Generation System for Precise Etching Processes, International Conference on Phenomena in Ionized Gases, (Nagoya, 2001).
  68. (招待講演) Seiji Samukawa, Development of high-density plasma reactor for high-performance plasma processing and future prospects, International Workshop on Physical Basis of Low Temperature Plasma Applications, (Hakone, 2001).
  69. Keisuke Sakamoto, Katsunori Ichiki and Seiji Samukawa, High Performance Neutral Beam Generation Using Negative Ions for Precise Etching Processes, 1st International Symposium on Dry Process, (Tokyo, 2001).
  70. Yasusi Ishikawa, Mitsuru Okigawa, Shinya Kumagai and Seiji Samukawa, Reduction of Vacuum-Ultraviolet Radiation Damage in Pulse-Time-Modulated Plasma, 1st International Symposium on Dry Process, (Tokyo, 2001).
  71. Seiji Samukawa, Keisuke Sakamoto and Katsunori Ichiki, High Performance Neutral Beam Generation Using Inductively Coupled Plasma, American Vacuum Society 48th International Symposium & Exhibition, (San Francisco, 2001/10/28).
  72. Shinya Kumagai, Jichel Bea, Mitsumasa Koyanagi and Seiji Samukawa, On-Wafer Monitoring for Conductivity of Sidewall-Deposited Polymer in SiO2 Contact Holes, American Vacuum Society 48th International Symposium & Exhibition, (San Francisco, 2001/10/28).
  73. (招待講演) Seiji Samukawa, Control of Reactive Species in Plasma Etching processes, The 3rd International Conference on Atomic and Molecular Data and Their Applications, (Gatlinburg, 2002).
  74. Seiji Samukawa, Keisuke Sakamoto and Kastunori Ichiki, High-performance and Damage-free neutral Beam etching, 2002 7th International Symposium on Plasma- and Process-Induced damage, (Maui, 2002).
  75. Mitsuru Okigawa, Yasushi Ishikawa, Shinya Kumagai and Seiji Samukawa, Reduction of Ultra-Violet-radiation induced Damage and Its Time-Resolved Measurement Using Pyulse-Time-Modulated Plasma, 2002 7th International Symposium on Plasma- and Process-Induced damage, (Maui, 2002).
  76. Tadashi Shimmura, Sinnosuke Soda, Mitsumasa Koyanagi, Kazuhiro Hane and Seiji Samukawa, On-wafer Monitoring for Conductivity of Sidewall in SiO2 Contact Holes, 2002 7th International Symposium on Plasma- and Process-Induced damage, (Maui, 2002).
  77. Yasushi Ishikawa, Mitsuru Okigawa and Seiji Samukawa, Effects of Pulse-Time-Modulated Plasma for Reduction of Plasma Radiation Damage in SiO2, 24th International Symposium on Dry process, (Tokyo, 2002).
  78. Sinnosuke Soda, Mitsumasa Koyanagi, Kazuhiro Hane and Seiji Samukawa, On-wafer Monitoring for Conductivity of Sidewall in SiO2 Contact Holes, 24th International Symposium on Dry process, (Tokyo, 2002).
  79. Sinnosuke Soda, Tadashi Shimmura, Seiji Samukawa, Mitsumasa Koyanagi and Kazuhiro Hane, On-Wafer Monitoring of Electrical Conductivity of Sidewall deposited Polymer in SiO2 Etching Processes, International Semiconductor Technology Conference, (Tokyo, 2002/09/12).
  80. Yasushi Ishikawa, Mitsuru Okigawa, Shinya Kumagai and Seiji Samukawa, Reduction of Vacuum Ultraviolet Light and its Induced Damages in SiO2 Using Pulse-Time-Modulated Plasma, International Semiconductor Technology Conference, (Tokyo, 2002/09/12).
  81. Seiji Samukawa, Keisuke Sakamoto, Katsunori Ichiki, High-Performance Damage-free Neutral Beam Etching Processes, International Semiconductor Technology Conference, (Tokyo, 2002/09/12).
  82. Toshiki Nakano, Shinya Kumagai, and Seiji Samukawa, Electron Energy Distribution of C2F4/CF3I Ultrahigh Frequency and Inductively Coupled Plasmas, International Semiconductor Technology Conference, (Tokyo, 2002/09/12).
  83. Hiroto Ohtake and Seiji Samukawa, Negative Ion Generation and Charge-free SiO2 Etching in Pulsed C2F4/CF3I Plasma, International Semiconductor Technology Conference, (Tokyo, 2002/09/12).
  84. Shinya Kumagai, Toshiki Nakano, V. M. Donnelly and Seiji Samukawa, Electron Beam Injected Ultrahigh-frequency Plasma for Superior Plasma Processing, International Semiconductor Technology Conference, (Tokyo, 2002/09/12).
  85. Hiroto Ohtake, H. Ishihara, T. Fuse, A. Koshiishi, and Seiji Samukawa, Effects of Ar Gas Dilution on Precise SiO2 Etching using C2F4/CF3I Plasma, American Vacuum Society 49th International Symposium & Exhibition, (Denver, 2002/11/04).
  86. Yasushi Ishikawa, Mitsuru Okigawa, and Seiji Samukawa, Reduction of Plasma-induced-currents and E’ centers in SiO2 film Induced by Vacuum-Ultraviolet Plasma, American Vacuum Society 49th International Symposium & Exhibition, (Denver, 2002/11/04).
  87. (招待講演) Toshiki Nakano, and Seiji Samukawa, Electron Energy Distribution of C2F4/CF3I Ultrahigh-Frequency and Inductively Coupled Plasmas, American Vacuum Society 49th International Symposium & Exhibition, (Denver, 2002/11/04).
  88. Mitsuru Okigawa, Yasushi Ishikawa, Shinya Kumagai and Seiji Samukawa, Plasma-Induced-Interface-State Formation in MNOS and its Suppression Using Pulse-Time-Modulated Plasma, American Vacuum Society 49th International Symposium & Exhibition, (Denver, 2002/11/04).
  89. Tadashi Shimmura, Shinnosuke Soda, Seiji Samukawa, M. Koyanagi and Kazuhiro Hane, Electrical conductivity of sidewall deposited fluorocarbon in SiO2 contact holes, American Vacuum Society 49th International Symposium & Exhibition, (Denver, 2002/11/04).
  90. Seiji Samuawa, Keisuke Sakamoto and Katsunori Ichiki, Generating High-efficiency Neutral Beams by Using Negative Ions in an Inductively Coupled Plasma Source, American Vacuum Society 49th International Symposium & Exhibition, (Denver, 2002/11/04).
  91. Mitsuru Okigawa, Yasushi Ishikawa, and Seiji Samukawa, Reduction of Plasma-Radiation-Induced Interface States for Plasma Processes of Charge-Coupled-Device Image Sensors Using Pulse-Time-Modulated, 8th International Conference on Plasma and Process Induced Damage, F-5 (, 2003).
  92. (招待講演) Seiji Samukawa, High-Performance and Damage-free Plasma Etchings for Future ULSI Devices, 16th International Symposium on Plasma Chemistry, (, 2003).
  93. (招待講演) Seiji Samukawa, High-performance and Damage-free Neutral Beam Processes for Future Nano-devices, International Symposium on MEMS, (Taipei, 2003).
  94. Shuichi Noda, Hirotomo Nishimori, Tohru Ida, Tsunetoshi Arikado, Katsunori Ichiki, and Seiji Samukawa, Neutral Beam Etching for Damage-free 50 nm Gate Electrode Patterning, 2003 International Conference on Solid State Devices and Materials, P3-12 (Tokyo, 2003/09/17).
  95. Shinya Kumagai, Toshiaki Shiraiwa, and Seiji Samukawa, Highly Anisotropic and Corrosion-less PtMn Etching using Negative Ions in Pulse-Time-Modulated Chlorine Plasma, 2003 International Conference on Solid State Devices and Materials, P10-9 (Tokyo, 2003/09/17).
  96. Shuichi Noda, Seiji Samukawa, Hirotomo Nishimori, Tsunetoshi Arikado, and Katsunori Ichiki, 50 nm Gate Electrode Patterning using A Neutral Beam Etching System, American Vacuum Society 50th International Symposium & Exhibition, (Baltimore, 2003/11/02).
  97. Yasushi Ishikawa, Mitsuru Okigawa, Satoshi Yamasaki, and Seiji Samukawa, Reduction Mechanism of VUV Radiation Damages in Pulse-Time-Modulated Plasma Processes, American Vacuum Society 50th International Symposium & Exhibition, (Baltimore, 2003/11/02).
  98. Hiroto Ohtake, Nobuhiko Inoue, Takuya Ozaki, and Seiji Samukawa, Low Damage Low-k Film Etching using Advanced Neutral Beams, American Vacuum Society 50th International Symposium & Exhibition, (Baltimore, 2003/11/02).
  99. Tadashi Shimmura, Shinnosuke Soda, Mitsumasa Koyanagi, Kazuhiro Hane, and Seiji Samukawa, In-Situ On-wafer Monitoring for Charge Build-up Voltage during Plasma Process, American Vacuum Society 50th International Symposium & Exhibition, (Baltimore, 2003/11/02).
  100. Takeshi Kawae, Youichi Minemura, Seiichi Fukuda, Tomoyuki Hirano, Yoshimune Suzuki, Masaki Saito, Shingo Kadomura, and Seiji Samukawa, Drastically Improved NBTI Lifetime By Periodic Plasma Nitridation for 90 nm Mobile Applications at Low Voltage Operation, International Workshop on Gate Insulator 2003, SiON3 (Tokyo, 2003/11/07).
  101. Nobuhiko Inoue, Hiroto Ohtake, Takuya Ozaki, Eiichi Soda, Kazuaki Inukai, and Seiji Samukawa, Low-damage Processes for Low-k Film using Advanced Neutral Beams, 25th International Symposium on Dry Process, pp.127-132 (, 2003/11/13).
  102. Yuya Suzuki, Tadashi Shimmura, Mitsumasa Koyanagi, Kazuhiro Hane, and Seiji Samukawa, Mitigation of Accumulated Electric Charge by Deposited Fluorocarbon Film during SiO2 Etching, 25th International Symposium on Dry Process, pp.265-270 (, 2003/11/13).
  103. Yasushi Ishikawa, Mitsuru Okigawa, Yoshinari Ichihashi, and Seiji Samukawa, Drastically Reduced Dark Current by Pulse-Time-Modulated Plasma for Precise Micro Lens Fabrication in Highly Sensitive CCD Image Sensor, International Electron Devices Meeting, pp.393-396 (Washington, DC, 2003/12/08).
  104. (招待講演) Seiji Samukawa, Damage-free and High-performance Plasma Etchings for ULSIs, International Workshop on Optical and Electronic Device Technology for Access Network, (Leuven, 2004).
  105. (招待講演) Seiji Samukawa, High Performance and Damage-free Neutral Beam Etching Processes for Advanced ULSI Devices, the 2004 International Conference on Solid-State and Integrated-Circuit Technology, B4.3 (Beijing, 2004).
  106. (招待講演) Seiji Samukawa, Ultimate Top-down Etching using Advanced Neutral Beam, 8th SEMI MicroSystem/MEMS Seminor, pp.103-108 (, 2004).
  107. Youichi Minemura, Takeshi Kawae, Seiichi Fukuda, and Seiji Samukawa, Control of Nitrogen Depth Profile in Ultra Thin SiON Film Formed By Pulse-Time-Modulated Plasma, International Workshop on Plasma Nano-Technology and Its Future Vision, P-27 (Takayama, 2004/02/06).
  108. Tomohiro Kubota , Tomohiro Baba , Hiroyuki Kawashima , Yukiharu Uraoka , Takashi Huyuki, Ichiro Yamashita, and Seiji Samukawa, 7-nm Nanocolumn Fabricated by Using a Ferritin Iron-Core Mask and Low Energy Cl Neutral Beams , International Workshop on Plasma Nano-Technology and Its Future Vision, P-33 (Takayama, 2004/02/06).
  109. Yasushi Ishikawa, Yuji Katoh, Yoshinari Ichihashi, Mitsuru Okigawa and Seiji Samukawa, Controlling of UV Radiation Damages for the High Sensitive CCD Image Sensor, 2004 International Conference on Solid State Devices and Materials, P3-4 (Tokyo, 2004/09/16).
  110. (招待講演) Seiji Samukawa, Bio-nano Process and Ultimate Top-down Etching, 4th International Symposium on Advanced Fluid Information / 1st International Symposium on Transdisciplinary Fluid Integration, MS2-7 (Sendai, 2004/11/11).
  111. Shuichi Noda, Yasuyuki Hoshino, Takuya Ozaki and Seiji Samukawa, Highly Anisotropic and Damage-free Gate Electrode Patterning in Neutral Beam Etching Using F2 Based Gas Chemistry, American Vacuum Society 51st International Symposium & Exhibition, PS2-MoM5 (Anaheim, 2004/11/15).
  112. Kenji Ishikawa, Y. Yamazaki, Satoshi Yamasaki, Takuya Ozaki, Yasushi Ishikawa, Shuichi Noda, and Seiji Samukawa, Using In-vacuo Electron-Spin-Resonance and Infrared Spectroscopy Technique in the Analysis of Surface Reactions of Low-k films during/after Plasma Processes, American Vacuum Society 51st International Symposium & Exhibition, PS1-MoM6 (Anaheim, 2004/11/15).
  113. Mitsuru Okigawa, Yasushi Ishikawa, Yuji Katoh and Seiji Samukawa, Controlling of UV Radiation Damages using On-wafer Monitoring Technique, American Vacuum Society 51st International Symposium & Exhibition, PS-TuP15 (Anaheim, 2004/11/16).
  114. Tomohiro Baba, Tomohiro Kubota, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita and Seij Samukawa, Fabrication of 7nm High Aspect Ratio Nanocolumns by Low Energy Neutral Beam Etching using Ferritin Iron-Core Mask, American Vacuum Society 51st International Symposium & Exhibition, PS1-WeM5 (Anaheim, 2004/11/17).
  115. Yuya Suzuki, Tadashi Shimmura and Seiji Samukawa, Real-time Monitoring of Charge Accumulated during SiO2 Etching using Pulse-Time-Modulated-Plasma, American Vacuum Society 51st International Symposium & Exhibition, PS-WeA4 (Anaheim, 2004/11/17).
  116. Yasushi Ishikawa, Takao Ishida and Seiji Samukawa, The Low Damage Surface Modification of the Self-assembled monolayer by the N2 Neutral Beam Irradiation, American Vacuum Society 51st International Symposium & Exhibition, PS+BI-FrM9 (Anaheim, 2004/11/19).
  117. Yuji Kato, Yasushi Ishikawa, Mitsuru Okigawa, and Seiji Samukawa, Prediction of UV Radiation Damages in Several Insulator Films using On-wafer Monitoring Technique, 26th International Symposium on Dry Process, (Tokyo, 2004/11/30).
  118. Seiji Samukawa, Shuichi Noda, and Tomohiro Kubota, Advanced Neutral Beam Etching for Future Nano-Scale Devices, 26th International Symposium on Dry Process, (Tokyo, 2004/11/30).
  119. Tomohiro Kubota, Tomohiro Baba, Hiroyuki Kawashima, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa, Fabrication of 7-nm Nanocolumn Structure using Ferritin Iron-Core Masks and Highly Anisotropic Neutral Beam Etching, 26th International Symposium on Dry Process, 6-04 (Tokyo, 2004/12/01).
  120. (招待講演) Seiji Samukawa, High-performance and damage-free plasma etching for future ULSI devices, 3rd EU/Japan Joint Symposium on Plasma Processing, (, 2005).
  121. (招待講演) Seiji Samukawa, High-performance and damage-free plasma etching for future ULSI devices, 2005 International Seminor in Semicon Korea, (Seoul, 2005).
  122. Tomohiro Kubota, J. K. Chen, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, Satoshi Yamasaki, and Seiji Samukawa, Fabrication of Defect-Free Sub-10 nm Si Nanocolumn for Quantum Effect Devices Using Cl Neutral Beam Process, 2005 International Conference on Solid State Devices and Materials, G-5-1 (Kobe, 2005/09/14).
  123. Suguru Saito, Tomohiro Kubota, Kazuhiko Endo, and Seiji Samukawa, Damage-free Silicon Etching by using Neutral Beam, The 2nd International Symposium on Transdisciplinary Fluid Integration, P-02 (Hyuga, 2005/10/26).
  124. J. K. Chen, Tomohiro Kubota, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa, Fabrication of Defect-free Sub-10nm Si Nanocolumn using Cl Neutral Beam, American Vacuum Society 52nd International Symposium & Exhibition, NS1-MoM4 (Boston, 2005/10/31).
  125. Yuji Kato, Yasushi Ishikawa, Mitsuru Okigawa, and Seiji Samukawa, Prediction of Plasma UV Radiation Damages Using On-wafer Monitoring Sensors, American Vacuum Society 52nd International Symposium & Exhibition, PS-MoM10 (Boston, 2005/10/31).
  126. Chihiro Taguchi, Seiichi Fukuda, Shuichi Noda, and Seiji Samukawa, Extremely Thin Silicon Oxide Formation Using Pulse-Time-Modulated Oxygen Neutral Beam, American Vacuum Society 52nd International Symposium & Exhibition, PS-MoP12 (Boston, 2005/10/31).
  127. Kenji Ishikawa, Yuichi Yamazaki, Satoshi Yamasaki, Shuichi Noda, Yasushi Ishikawa, and Seiji Samukawa, Vacuum-Ultraviolet Photon Irradiation Effects in Fluorocarbon Plasmas on SiO2 Etching Surface Reactions using In vacuo Electron-Spin-Resonance, American Vacuum Society 52nd International Symposium & Exhibition, PS-TuA6 (Boston, 2005/11/01).
  128. Yasushi Ishikawa, Takao Ishida, and Seiji Samukawa, Surface Nitridation of Terphenyl Methane Thiol Self-assembled Monolayer Using N2 Neutral Beam Process, American Vacuum Society 52nd International Symposium & Exhibition, SS-TuP8 (Boston, 2005/11/01).
  129. Shuichi Noda, Takuya Ozaki, and Seiji Samukawa, Damage-free MOS Gate Electrode Patterning on Thin HfSiON Film Using a Neutral Beam Etching, American Vacuum Society 52nd International Symposium & Exhibition, PS-WeM9 (Boston, 2005/11/02).
  130. Seiichi Fukuda, Chihiro Taguchi, Yuji Kato, Yasushi Ishikawa, Shuichi Noda, and Seiji Samukawa, Damage-free Ultrathin Oxynitride Films Formed Using Pulse-Time-Modulated Nitrogen Plasma, American Vacuum Society 52nd International Symposium & Exhibition, PS-WeM11 (Boston, 2005/11/02).
  131. Takao Kaji1, Kazunori Koga1, Masaharu Shiratani1,Yukio Watanabe1, Tomohiro Kubota2, and Seiji Samukawa2, Substrate Temperature Dependence of Deposition Rate in Anisotropic Plasma CVD of Cu, 27th International Symposium on Dry Process, 10-31 (Jeju, 2005/11/29).
  132. Kazuhiko Endo, Shuichi Noda*, Meishoku Masahara, Tomohiro Kubota, Takuya Ozaki, Seiji Samukawa, Y. Liu, K. Ishii, Y. Ishikawa, E. Sugimata, T. Matsukawa, H. Takashima, H. Yamauchi, E. Suzuki, Damage-Free Neutral Beam Etching Technology for High Mobility FinFETs, 2005 IEEE International Electron Device Meeting, 34.5 (Washington, DC, 2005/12/07).
  133. Chihiro Taguchi, Toru Ikoma, Seiichi Fukuda, Shuichi Noda, Seiji Samukawa, Extremely Thin Silicon Oxide Formation Using Pulse-Time-Modulated Oxygen Neutral Beam, IFS-JAXA Joint Symposium on Advanced Fluid Information, P-22 (, 2005/12/09).
  134. (招待講演) Seiji Samukawa, Ultimate top-down etching processes using advanced neutral beam for future nano-scale devices, 4th International Workshop on Basic Aspects of Nonequilibrrium Plasmas Interracting with Surfaces (Negative Ions, their function and designability) and 4th EU-Japan Symposium on Plasma Processes, (Kawaguchiko, 2006).
  135. Kazuhiko Endo, Shuichi Noda, Meishoku Masahara, Tomohiro Kubota, Takuya Ozaki, Seiji Samukawa, Y. Liu, Ken'ichi Ishii, H. Takashima, E. Sugimata, T. Matsukawa, H. Yamauchi, Y. Ishikawa, and Eiichi Suzuki, Damage-free Fabrication of FinFETs using a Neutral Beam Etching, 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, P-2A-36 (Matsushima, 2006/01/25).
  136. Seiichi Fukuda, Chihiro Taguchi, Yuji Kato, Yasushi Ishikawa, Shuichi Noda, and Seiji Samukawa, Damage-free Ultrathin Oxynitride Films Formed Using Pulse-Time-Modulated Nitrogen Plasma , 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, P-3A-27 (Matsushima, 2006/01/26).
  137. Yoshinari Ichihashi, Yasushi Ishikawa, Yuji Kato, R. Shimizu, Mitsuru Okigawa, and Seiji Samukawa, Noise reduction effects of Pulse-time-modulated plasma etching on CCD microfabrication process, 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, P-2A-37 (Matsushima, 2006/01/25).
  138. Kenji Ishikawa, Y. Yamazaki, Satoshi Yamasaki, Shuichi Noda, Yasushi Ishikaawa, and Seiji Samukawa, Plasma Emission Irradiation Effects on Etching Surface Reactions: Analysis using in-vacuo Electron-Spin-Resonance Technique, 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, P-2A-38 (Matsushima, 2006/01/25).
  139. Yasushi Ishikawa, Takao Ishida, and Seiji Samukawa, The low damage surface modification of the self-assembled monolayer by the N2 neutral beam irradiation, 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, P-2B-09 (Matsushima, 2006/01/25).
  140. Yuji Kato, Yasushi Ishikawa, Mitsuru Okigawa, and Seiji Samukawa, Prediction of Plasma UV Radiation Damages Using On-wafer Monitoring Sensors, 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, P-3B-04 (Matsushima, 2006/01/26).
  141. Tomohiro Kubota, Suguru Saito, J. K. Chen, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa, Fabrication of Defect-free Sub-10nm Si Nanocolumn using Cl Neutral Beam, 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, G-6A-4 (Matsushima, 2006/01/27).
  142. Shuichi Noda, Takuya Ozaki, and Seiji Samukawa, Charge-free MOS Gate Electrode Patterning on Using a Novel Neutral Beam Etching, 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, G-3A-2 (Matsushima, 2006/01/25).
  143. Chihiro Taguchi, Seiichi Fukuda, Shuichi Noda, and Seiji Samukawa, Extremely Thin Silicon Oxide Formation Using Pulse-Time-Modulated Oxygen Neutral Beam, 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, P-3A-26 (Matsushima, 2006/01/26).
  144. (招待講演) Tomonori Mukai, H. Hada, S. Tahara, H. Yoda, and Seiji Samukawa, High-performance and damage-free magnetic film etching using pulse-time-modulated Cl2 plasma, 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, A-1A-3 (Matsushima, 2006/01/24).
  145. Y. Yamazaki, Kenji Ishikawa, N. Mizuochi, Seiji Samukawa, and Satoshi Yamasaki, Structural damage of diamond by oxygen ion beam exposure, 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, G-3A-5 (Matsushima, 2006/01/25).
  146. Masaharu Shiratani, T. Kaji, K. Koga, Y. Watanabe, Tomohiro Kubota, and Seiji Samukawa, Plasma anisotropic CVD of high purity Cu using Cu(hfac)2, 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, G-4A-8 (Matsushima, 2006/01/26).
  147. J.-H. Ting, C.-Y. Su, F.-Y. Huang, C.-L. Hsu, and Seiji Samukawa, Effect of plasma power and plasma sheath on the field emission properties of CNTs, 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, P-3A-15 (Matsushima, 2006/01/26).
  148. (招待講演) Seiji Samukawa, Ultimate Plasma Nano-technology for Future Nano-scale Devices, 8th Asia-Pacific Conference on Plasma Science and Technology and 19th Symposium on Plasma Science for Materials, (Cairns, 2006/07/04).
  149. Butsurin Jinnai, Yasushi Ishikawa, Tomohiro Kubota, Hiroto Ohtake, Seiji Samukawa, Control of Plasma Process by using On-Wafer Monitoring Technique, The 3rd International Symposium on Transdisciplinary Fluid Integration, P-01 (Matsushima, 2006/06/12).
  150. Sergey N. Abolmasov, Takuya Ozaki, and Seiji Samukawa, Characterization of Neutral Beam Source Based on Pulsed Inductively Coupled Discharge: Time evolution of ion fluxes entering neutralizer, 8th Asia-Pacific Conference on Plasma Science and Technology and 19th Symposium on Plasma Science for Materials, (Cairns, 2006/07/04).
  151. (招待講演) Seiji Samukawa, Ultimate Plasma Nano-technology for Future Nano-scale Devices, 8th Asia-Pacific Conference on Plasma Science and Technology and 19th Symposium on Plasma Science for Materials, (Cairns, 2006/07/04).
  152. Toru Ikoma, Chihiro Taguchi, Seiichi Fukuda, Kazuhiko Endo, Heiji Watanabe, and Seiji Samukawa, Low-Leakage-Current Ultra-thin SiO2 Film by Low-Temperature Neutral Beam Oxidation, 2006 International Conference on Solid State Devices and Materials, P-1-24 (Yokohama, 2006/09/14).
  153. Yoshinari Ichihashi, Yasushi Ishikawa, Ryu Shimizu, Hideki Mizuhara, Mitsuru Okigawa, and Seiji Samukawa , Effects of CF3I Plasma for Reducing UV Irradiation Damage in Dielectric Film Etching Processes, 2006 International Conference on Solid State Devices and Materials, P-1-28 (Yokohama, 2006/09/14).
  154. (招待講演) Seiji Samukawa, Ultimate Top-down Etching Processes for Future Nano-scale Devices, 3rd International Conference on Flow Dynamics, OS3-6 (Matsushima, 2006/11/08).
  155. (招待講演) Hiroto Ohtake, Highly-selective and low-damage, damascene processes in robust porous low-k/ Cu interconnects, American Vacuum Society 53rd International Symposium & Exhibition, PS2-TuA1 (San Francisco, 2006/11/14).
  156. Keiji Okumura, Yoshinori Sato, Kazuyuki Tohji, and Seiji Samukawa, Damage-free Surface Modification of Carbon Nanotubes using Advanced Neutral Beam, American Vacuum Society 53rd International Symposium & Exhibition, NS-WeA9 (San Francisco, 2006/11/15).
  157. Suguru Saito, Tomohiro Kubota, Takuo Matsui, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa, Fabrication of High Density and High-Aspect Silicon Nano-column Using Neutral Beam Etching and Ferritin Iron Core Mask, American Vacuum Society 53rd International Symposium & Exhibition, PS1+BI-ThM8 (San Francisco, 2006/11/16).
  158. Tomohiro Kubota, Takeshi Hashimoto, Masaki Takeguchi, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa, Fabrication of Thin Silicon Nanodisk for Quantum Effect Devices Using Cl Neutral Beam Etching and Ferritin Iron Core Mask, American Vacuum Society 53rd International Symposium & Exhibition, NS-ThA6 (San Francisco, 2006/11/16).
  159. Michio Sato, Kouichi Suzuki, Hiroto Ohtake, and Seiji Samukawa, Advanced Plasma Anomaly Monitoring Technology Using Electromagnetic Field Sensors, American Vacuum Society 53rd International Symposium & Exhibition, PS2-FrM2 (San Francisco, 2006/11/17).
  160. Butsurin Jinnai, Toshiyuki Orita, Mamoru Konishi, Jun Hashimoto, and Seiji Samukawa, On-wafer Monitoring of Charge Accumulation during Plasma Etching Processes, American Vacuum Society 53rd International Symposium & Exhibition, PS1-FrM8 (San Francisco, 2006/11/17).
  161. Yoshinari Ichihashi, Yasushi Ishikawa, Ryu Shimizu, H. Mizuhara, Mitsuru Okigawa, and Seiji Samukawa, Reduction of UV Irradiation Damage in CCD image sensor using CF3I Gas Plasma, American Vacuum Society 53rd International Symposium & Exhibition, PS1-FrM10 (San Francisco, 2006/11/17).
  162. Yasushi Ishikawa, Akira Uedono, Satoshi Yamasaki, and Seiji Samukawa, Defect Generation due to UV Radiation in Plasma Etching Process, American Vacuum Society 53rd International Symposium & Exhibition, PS1-FrM11 (San Francisco, 2006/11/17).
  163. (招待講演) Seiji Samukawa, Advanced Neutral Beam Processes for Nano-scale Devices, 5th EU-Japan Joint Symposium on Plasma Processing, (Belgrade, 2007/03/07).
  164. Butsurin Jinnai and Seiji Samukawa, Damage-free Etching Processes of Low Dielectric (Low-k) Films Using the Neutral Beam, 2007 MRS Spring Meeting, B2.10 (San Francisco, 2007/04/10).
  165. Toru Ikoma, Seiichi Fukuda, Kazuhiko Endo, Heiji Watanabe, and Seiji Samukawa, Formation of Low-leakage-current Ultra-thin SiO2 Films Using Low-temperature Neutral Beam Oxidation, 2007 MRS Spring Meeting, H5.35 (San Francisco, 2007/04/11).
  166. (招待講演) Seiji Samukawa, UV Photon-Induced Defect and Its Control in Plasma Etching Processes, International Conference on IC Design & Technology, (Austin, 2007/05/30).
  167. Tomohiro Kubota, Masaki Takeguchi, Kensuke Nishioka, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa, Coulomb-staircase Effect in Silicon-nanodisk Structures Fabricated Using Damage-free Cl Neutral Beam Etching, The 2007 Silicon Nanoelectronics Workshop (Satellite Workshop of the VLSI symposium), 4-5 (Kyoto, 2007/06/10).
  168. (招待講演) Seiji Samukawa, Coulomb-staircase effect in silicon-nanodisk structures fabricated using damage-free Cl neutral beam etching, The 234th American Chemical Society National Meeting, (Boston, 2007/08/19).
  169. Hiroto Ohtake, Yasushi Ishikawa, Yoshinari Ichihashi, and Seiji Samukawa, Plasma-damage Prediction System with On-wafer Sensors and Neural Network, 18th International Symposium on Plasma Chemistry, 30P2-8 (Kyoto, 2007/08/30).
  170. Tomohiro Kubota, Suguru Saito, and Seiji Samukawa, Low-damage fabrication of high aspect nanocolumns by using neutral beams and ferritin-iron-core mask, 18th International Symposium on Plasma Chemistry, 30P6-11 (Kyoto, 2007/08/30).
  171. Yasushi Ishikawa, A. Uedono, Satoshi Yamasaki and Seiji Samukawa, Generation and reduction of UV photon-induced defects during plasma etching processes, 18th International Symposium on Plasma Chemistry, 28P1-29 (Kyoto, 2007/08/28).
  172. Michio Sato, Hiroto Ohtake, and Seiji Samukawa, Real-time monitoring of anomalous-discharge in a high-density plasma chamber, 18th International Symposium on Plasma Chemistry, 28B-a3 (Kyoto, 2007/08/28).
  173. Yoshinari Ichihashi, Y. Ishikawa, R. Shimizu, H. Mizuhara, Mitsuru Okigawa and Seiji Samukawa, Effects of CF3I plasma for reducing UV irradiation damage in dielectric film etching processes, 18th International Symposium on Plasma Chemistry, 30C-p3 (Kyoto, 2007/08/30).
  174. Yasushi Ishikawa, Yoshinari Ichihashi, Satoshi Yamasaki, and Seiji Samukawa, Control of SiO2/Si Interface States during Plasma Etching Processes, American Vacuum Society 54th International Symposium & Exhibition, PS2-TuM10 (Seattle, 2007/10/16).
  175. Eiichi Soda, Seiichi Kondo, Yoshinari Ichihashi, Aiko Sato, Hiroto Ohtake, Seiji Samukawa1 and Shuichi Saito, Low-damage low-k etching by CF3I plasma with low global warning potential, American Vacuum Society 54th International Symposium & Exhibition, PS1-TuA8 (Seattle, 2007/10/16).
  176. Butsurin Jinnai, Tohoku University, Fumihiko Oda, Yukihiro Morimoto and Seiji Samukawa, Surface Reaction Enhancement by UV Irradiation during Si Etching with Chlorine Atom Beam, American Vacuum Society 54th International Symposium & Exhibition, PS2-WeM2 (Seattle, 2007/10/17).
  177. Takeshi Hashimoto, Tomohiro Kubota, Chi-Hsien Huang, Masaki Takeguchi, Kensuke Nishioka, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita and Seiji Samukawa, Fabrication of defect-free and diameter-controlled silicon nanodisks for future quantum devices by using neutral beam etching, American Vacuum Society 54th International Symposium & Exhibition, PS1+NS-WeM9 (Seattle, 2007/10/17).
  178. Jun Hashimoto, Y. Yatagai, T. Tatsumi, S. Kawada, M. Konishi, I. Kurachi, Y. Ishikawa, Seiji Samukawa, On-wafer Real Time Monitoring of Charge-Buildup Voltages during Plasma Etching in Production Equipment, American Vacuum Society 54th International Symposium & Exhibition, PS2-ThM7 (Seattle, 2007/10/18).
  179. Butsurin Jinnai, Fumihiko Oda, Yukihiro Morimoto, and Seiji Samukawa, Role of UV Irradiation during Si Etching Process in Chlorine Plasma, Fifth International Symposium on Control of Semiconductor Interfaces, OA1-5 (Hachioji, 2007/11/13).
  180. Taiki Sato, Akira Ueno, Takuya Yara, Eiji Miyamoto, Yukiharu Uraoka, and Seiji Samukawa, Surface Reactions and Irradiation-Damages of Thin Film Transistor Resist Ashing Process in Atmospheric Plasma, 29th International Symposium on Dry Process, 8-2 (Tokyo, 2007/11/14).
  181. Takeshi Hashimoto, Chi-Hsien Huang, Tomohiro Kubota, Masaki Takeguchi, Kensuke Nishioka, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa, Diameter-controlled Defect-free Si Nanostructure Using Neutral Beam Etching for Realistic Quantum Effect Devices, 29th International Symposium on Dry Process, 5-2 (Tokyo, 2007/11/13).
  182. Hiroto Ohtake, Yoshinari Ichihashi, Eiichi Soda, S. Saito and Seiji Samukawa, Environmentally Harmonized Gas Chemistry for Low-damage, Highly Selective Low-k Etching, 29th International Symposium on Dry Process, P1-29 (Tokyo, 2007/11/13).
  183. Aiko Sato, Yasuyuki Hoshino, Takuya Ozaki, Michio Kondo and Seiji Samukawa, CHAMBER CLEANING FOR CHEMICAL VAPOR DEPOSITION USING PULSE TIME MODULATED F2 GAS PLASMA, 17th International Photovoltaic Science and Engineering Conference, 6P-P6-83 (Fukuoka, 2007/12/06).
  184. Toru Ikoma, Hideki Yoshikawa, Keisuke Kobayashi, Tomoyuki Koganezawa, Ichiro Hirosawa, Heiji Watanabe, and Seiji Samukawa, Extremely Low Leakage Current from Ultra-thin HfO2 Films Formed by Neutral Beam Enhanced Atomic Layer Deposition, 2008 MRS Spring Meeting, H4.46 (San Francisco, 2008/03/26).
  185. Butsurin Jinnai and Seiji Samukawa, Effects of Photon Irradiation during Plasma Etching Processes for Low-k films, The 6th EU-Japan Joint Symposium on Plasma Processing, P-06 (Ginowan, 2008/04/22).
  186. Masahiro Yonemoto and Seiji Samukawa, Fabrication of Sub-10 nm Vertical Nanocolumn Structure by using Defect-free Neutral Beam Etching, The 6th EU-Japan Joint Symposium on Plasma Processing, P-07 (Ginowan, 2008/04/22).
  187. A. Sato, Y. Hoshino, T. Ozaki, M. Kondo and Seiji Samukawa, Chamber Cleaning for Chemical Vapor Deposition using Pulse Time Modulated F2 Gas Plasma, The 6th EU-Japan Joint Symposium on Plasma Processing, P-08 (Ginowan, 2008/04/22).
  188. Akira Wada, Yoshinori Sato, Masahiko Ishida, Fumiyuki Nihey, Kazuyuki Tohji and Seiji Samukawa, Damage-free Surface Modification of Carbon Nanotubes using Advanced Neutral Beam, The 6th EU-Japan Joint Symposium on Plasma Processing, P-21 (Ginowan, 2008/04/22).
  189. Chi-Hsien Huang, Makoto Igarashi and Seiji Samukawa, Fabrication of Nanodisk by Defect-free Chlorine Neutral Beams and its Quantum Effect Measurement, The 6th EU-Japan Joint Symposium on Plasma Processing, P-22 (Ginowan, 2008/04/22).
  190. Butsurin Jinnai and Seiji Samukawa, Effects of Photon Irradiation during Plasma Etching Processes for Low-k films, 213th ECS meeting, 745 (Phoenix, 2008/05/20).
  191. Shigeo Yasuhara, J. Chung, K. Tajima, H. Yano, Shingo Kadomura, Masaki Yoshimaru, N. Matsunaga, Tomohiro Kubota, Hiroto Ohtake, and Seiji Samukawa, Structure-Designable Formation-Method of Super Low-k SiOC Film (k=2.2) by Neutral-Beam-Enhanced-CVD, 2008 IEEE International Interconnect Technology Conference, 4.3 (San Francisco, 2008/06/02).
  192. Taiki Sato, Akira Ueno, Takuya Yara, Eiji Miyamoto, Yukiharu Uraoka, and Seiji Samukawa, Irradiation Damages in Atmospheric Plasma Used in a Resist Ashing Process for Thin Film Transistors, The 15th International Workshop on Active-Matrix Flatpanel Displays and Devices, 4-2 (Tokyo, 2008/07/02).
  193. (招待講演) Seiji Samukawa, Ultimate Top-down Etching Processes for Future Nanoscale Devices, 1st International Conference on Microelectronics and Plasma Technology, IS07 (Jeju, 2008/08/20).
  194. Masahiro Yonemoto, Keisuke Sano, Kazuhiko Endo, Takashi Matsukawa, Meishoku Masahara, and Seiji Samukawa, Low Temperature, Anisotropic, Lattice-Plane-Free and Damage-free Oxidation for 3 Dimensional Structure by Oxygen Neutral Beams, 2008 International Conference on Solid State Devices and Materials, P-1-4 (Tsukuba, 2008/09/25).
  195. Chi-Hsien Huang, Makoto Igarashi, Tomohiro Kubota, Masaki Takeguchi, Kensuke Nishioka, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa, Diameter-controlled 2-dimensional Array of Si Nanodisk Using Bionano-process and Neutral Beam Etching for Realistic Quantum Effect Devices, 2008 International Conference on Solid State Devices and Materials, D-8-3 (Tsukuba, 2008/09/26).
  196. Jun Hashimoto, T. Tatsumi, S. Kawada, N. Kuriyama, I. Kurachi, and Seiji Samukawa, Time Dependence of Charge-Build-up Voltages in Production Etcher by On-Wafer Real Time Monitoring System, American Vacuum Society 55th International Symposium & Exhibition, PS2-WeA3 (Boston, 2008/10/22).
  197. Koji Koyama, Butsurin Jinnai, and Seiji Samukawa, Influences of UV Photon Irradiation to ArF Resist during Plasma Etching Processes, American Vacuum Society 55th International Symposium & Exhibition, PS1-WeA11 (Boston, 2008/10/22).
  198. J. Chung, Shigeo Yasuhara, K. Tajima, H. Yano, Shingo Kadomura, Masaki Yoshimaru, N. Matsunaga, and Seiji Samukawa, Degradation Mechanisms of Structure and k Value of Low-k Film by Plasma Irradiation, American Vacuum Society 55th International Symposium & Exhibition, PS1-FrM5 (Boston, 2008/10/24).
  199. Akira Wada, Y. Sato, M. Ishida, F. Nihey, K. Tohji, and Seiji Samukawa, Influences of Electrical Characteristics in Carbon Nanotubes by Neutral Beam Irradiation, American Vacuum Society 55th International Symposium & Exhibition, PS1-FrM8 (Boston, 2008/10/24).
  200. (招待講演) Seiji Samukawa, Tomohiro Kubota, Ultimate Top-down Etching Processes for Future Nanoscale Devices, The 9th International Conference on Solid-State and Integrated-Circuit Technology, E6.8 (Beijing, 2008/10/23).
  201. Eiichi Soda, S. Kondo, S. Saito, Hiroto Ohtake and Seiji Samukawa, Low-Damage Low-k Etching with an Environmentally Friendly CF3I Plasma, 8th International Symposium on Advanced Fluid Information and Transdisciplinary Fluid Integration, P-03 (Sendai, 2008/12/20).
  202. Butsurin Jinnai and Seiji Samukawa, Damage Mechanism of Low Dielectric (Low-k) Films during Plasma Processes, 8th International Symposium on Advanced Fluid Information and Transdisciplinary Fluid Integration, P-05 (Sendai, 2008/12/20).
  203. Hiroto Ohtake, Butsurin Jinnai and Seiji Samukawa, Prediction System of UV-Irradiation Damage by using On-wafer Monitoring Technology, 8th International Symposium on Advanced Fluid Information and Transdisciplinary Fluid Integration, P-06 (Sendai, 2008/12/20).
  204. Chi-Hsien Huang, Makoto Igarashi, Michel Wone, Masaki Takeguchi, Kensuke Nishioka, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita and Seiji Samukawa , Two-Dimensional Si Nanodisk Array using Bio-Nano-Process and Neutral Beam Etching for Realistic Quantum Effect Devices, 8th International Symposium on Advanced Fluid Information and Transdisciplinary Fluid Integration, P-07 (Sendai, 2008/12/20).
  205. Noriaki Matsunaga, H. Yamaguchi, H. Shibata and Seiji Samukawa, Spreading Antenna Effect of Plasma-Induced Charging Damage in Dielectric Film Deposition Process by PE-CVD, 8th International Symposium on Advanced Fluid Information and Transdisciplinary Fluid Integration, P-08 (Sendai, 2008/12/20).
  206. Tomohiro Kubota, Chi-Hsien Huang, Makoto Igarashi, Masaki Takeguchi, Kensuke Nishioka, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita and Seiji Samukawa, Fabrication of Diameter- And Thickness-Controlled Nanodisk by using Defect-Free Neutral Beam And Its Quantum Effect, 8th International Symposium on Advanced Fluid Information and Transdisciplinary Fluid Integration, P-09 (Sendai, 2008/12/20).
  207. Taiki Sato, A. Ueno, T. Yara, E. Miyamoto, Y. Uraoka and Seiji Samukawa, Irradiation-Damages in Atmospheric Plasma used in a Resist Ashing Process for Thin Film Transistors, 8th International Symposium on Advanced Fluid Information and Transdisciplinary Fluid Integration, P-11 (Sendai, 2008/12/20).
  208. Yoshinari Ichihashi, Yasushi Ishikawa and Seiji Samukawa, Effects of CF3I Plasma for Reducing UV Irradiation Damage in Dielectric Film Etching Processes, 8th International Symposium on Advanced Fluid Information and Transdisciplinary Fluid Integration, P-12 (Sendai, 2008/12/20).
  209. J. Chung, Shigeo Yasuhara, K. Tajima, H. Yano, Shingo Kadomura, Masaki Yoshimaru, N. Matsunaga, Tomohiro Kubota, Hiroto Ohtake and Seiji Samukawa, Formation of Super Low-k SiOC Film (k=2.2) by Neutral-Beam-Enhanced CVD, 8th International Symposium on Advanced Fluid Information and Transdisciplinary Fluid Integration, P-16 (Sendai, 2008/12/20).
  210. Masahiro Yonemoto, K. Sano, Kazuhiko Endo, T. Matsukawa, Meishoku Masahara and Seiji Samukawa, Low Temperature, Anisotropic, Lattice-Plane-Free and Damage Free Oxidation for Three-Dimensional Structure by Oxygen Neutral Beam, 8th International Symposium on Advanced Fluid Information and Transdisciplinary Fluid Integration, P-19 (Sendai, 2008/12/20).
  211. Akira Wada, Y. Sato, M. Ishida, F. Nihey, K. Tohji and Seiji Samukawa, Influence of Neutral Beam Irradiation to Electrical Characteristic in Carbon Nanotubes, 8th International Symposium on Advanced Fluid Information and Transdisciplinary Fluid Integration, P-21 (Sendai, 2008/12/20).
  212. (招待講演) Seiji Samukawa, DAMAGE-FREE PLASMA ETCHING PROCESSES FOR FUTURE NANOSCALE DEVICES, 22nd IEEE International Conference on Micro Electro Mechanical Systems, (Sorrento, 2009/01/28).
  213. Michio Sato, Hiroto Ohtake and Seiji Samukawa, Novel Particle Reduction System in Chemical-Vapor-Deposition Process of Interlayer Dielectrics, 2009 International Conference on Solid State Devices and Materials, P-2-4 (Sendai, 2009/10/08).
  214. Butsurin Jinnai, Seiichi Fukuda, Hiroto Ohtake, Shigeo Yasuhara, Eric A. Hudson and Seiji Samukawa, Prediction of UV/VUV Irradiation Damage of Interlayer Dielectrics in Plasma Etching Using On-wafer Monitoring Technique, 2009 International Conference on Solid State Devices and Materials, P-2-5 (Sendai, 2009/10/08).
  215. Shigeo Yasuhara, Toru Sasaki, Kunitoshi Tajima, Hisashi Yano, Shingo Kadomura, Masaki Yoshimaru, Noriaki Matsunaga and Seiji Samukawa, Impact of film structures on damage to low-k SiOCH film during plasma exposure, 2009 International Conference on Solid State Devices and Materials, P-2-7 (Sendai, 2009/10/08).
  216. Koji Koyama, Butsurin Jinnai, Shinichi Maeda, Keisuke Kato, Atsushi Yasuda, Hikaru Momose and Seiji Samukawa, Mechanism for Generation of Molecular-Level Line-Edge Roughness of ArF photoresist during Plasma Etching Processes, 2009 International Conference on Solid State Devices and Materials, P-1-25 (Sendai, 2009/10/08).
  217. Keisuke Sano, Masahiro yonemoto, Akira Wada, Kazuhiko Endo, Takashi Matsukawa, Meishoku Masahara and Seiji Samukawa, High-Performance three-terminal FinFETs by Combination of Damage-Free Neutral-Beam Etching and Neutral-Beam Oxidation Technologies, 2009 International Conference on Solid State Devices and Materials, C-7-2 (Sendai, 2009/10/09).
  218. Butsurin Jinnai, Eiichi Soda, Koji Koyama, Shuichi Saito and Seiji Samukawa, Effect of UV Photons and Radicals for Low-Frequency Line-Edge Roughness (LER) of ArF Photo-resist during Fluorocarbon plasma etching, 2009 International Conference on Solid State Devices and Materials, D-8-3 (Sendai, 2009/10/09).
  219. Noriaki Matsunaga, Hirokatsu Okumura, Butsurin Jinnai and Seiji Samukawa, Hard Mask through UV Light-induced Damage to Low-k Film During Plasma Process for Dual Damascene, 2009 International Conference on Solid State Devices and Materials, D-8-4 (Sendai, 2009/10/09).
  220. Toru Sasaki, Shigeo Yasuhara, Tsutomu Shimayama, Kunitoshi Tajima, Hisashi Yano, Shingo Kadomura, Masaki Yoshimaru, Noriaki Matsunaga and Seiji Samukawa, Super-Low-k SiOCH Film (k=1.9) with High Water Resistance and High Thermal Stability Formed by Neutral-Beam-Enhanced-CVD, 2009 International Conference on Solid State Devices and Materials, D-8-5 (Sendai, 2009/10/09).
  221. Chi-Hsien Huang, Makoto Igarashi, Maju Tomura, Masaki Takeguchi, Susumu Horita, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa, A New Structure of nanodisk (Stacked Nanodisk) fabricated by bio-nano-process and defect-free neutral beam etching, 2009 International Conference on Solid State Devices and Materials, J-9-3 (Sendai, 2009/10/09).
  222. Hiroto Ohtake, Seiichi Fukuda, Butsurin Jinnai, Tomohiko Tatsumi and Seiji Samukawa, Ion Trajectory Prediction at High-Aspect-Ratio Hole Etching by the Combination of On-wafer monitoring and Sheath Modeling, 2009 International Conference on Solid State Devices and Materials, D-9-4 (Sendai, 2009/10/09).
  223. Makoto Igarashi, Chi-Hsien Huang, Maju Tomura, Masaki Takeguchi, Susumu Horita, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, Takashi Morie, and Seiji Samukawa, New Functional Device Characteristics with 2-Dimensional Array of Si Nanodisks Fabricated by Combination of Bio-Template and Ultimate Top-down Etching, 2009 International Conference on Solid State Devices and Materials, J-9-4 (Sendai, 2009/10/09).
  224. Koji Koyama, Butsurin Jinnai, S. Maeda, K. Kato, A. Yasuda, H. Momose and Seiji Samukawa, Mechanism for Generation of Molecular Level Line-Edge Roughness of ArF Photoresist during Plasma Etching Processes, American Vacuum Society 56th International Symposium & Exhibition, PS-TuA-11 (San Jose, 2009/11/10).
  225. Hiroto Ohtake, S. Fukuda, Butsurin Jinnai, T. Tatsumi and Seiji Samukawa, Ion Trajectory Prediction at High-Aspect-Ratio Hole Etching by the Combination of On-Wafer Monitoring and Sheath Modeling, American Vacuum Society 56th International Symposium & Exhibition, PS2+MN-WeA-3 (San Jose, 2009/11/11).
  226. (招待講演) Seiji Samukawa, Ultimate Top-down Processes for Future Nanoscale Devices - Novel Neutral Beam Process and Control of Atomic Layer Chemical Reaction, American Vacuum Society 56th International Symposium & Exhibition, PS1-ThM-3 (San Jose, 2009/11/12).
  227. E. A. Hudson, M. Moravej, M. Block, S. Sirard, D. Wei, K. Takeshita, Butsurin Jinnai and Seiji Samukawa, Vacuum Ultraviolet Plasma Emission in a Capacitively-Coupled Dielectric Etch Reactor, American Vacuum Society 56th International Symposium & Exhibition, PS2-ThM-11 (San Jose, 2009/11/12).
  228. Butsurin Jinnai, S. Fukuda, Hiroto Ohtake, E. A. Hudson and Seiji Samukawa, On-wafer Monitoring for UV/VUV Photon Irradiation during Plasma Processes, American Vacuum Society 56th International Symposium & Exhibition, PS2-ThA-2 (San Jose, 2009/11/12).
  229. M. Igarshi, C. H. Huang, M. Takeguchi, S. Horita, Y. Uraoka, T. Fuyuki, I. Yamashita and Seiji Samukawa, Combination of Bio-template and Ultimate Top-down Etching Processes for Defect-free, High Density, Size-controlled and Excellent Uniform Si-Nanostructure for Ideal Quantum Effect Devices, American Vacuum Society 56th International Symposium & Exhibition, PS-FrM-10 (San Jose, 2009/11/13).
  230. (招待講演) Seiji Samukawa, Ultimate Etching, Deposition and Surface Modification Processes for Future Nanoscale Devices, IBM Technical Vitality International Seminars, (Albany, 2010).
  231. (招待講演) Seiji Samukawa, Structure Designable Formation Technique of Super Low-K SiOCH Film by Neutral Beam Enhanced CVD, Plasma Etch Users Group Meeting in Northem California Chapter of AVS, (San Jose, 2010).
  232. Toru Sasaki, Shigeo Yasuhara, T. Shimayama, K. Tajima, H. Yano, Shingo Kadomura, N. Matsunaga,Masaki Yoshimaru and Seiji Samukawa, Super-low-k (k=2.1) and High-modulus (7 GPa) Interlayer Dielectrics with Controlled Multi-layer SiOCH Structure Formed by Damage-free Neutral-beam-enhanced CVD, 2010 MRS Spring Meeting & Exhibit, F5.4 (San Francisco, 2010/04/05).
  233. Shigeo Yasuhara, Toru Sasaki, Tsutomu Shimayama, Kunitoshi Tajima, Hisashi Yano, Shingo Kadomura, Masaki Yoshimaru, Noriaki Matsunaga, and Seiji Samukawa, Ultimate-Low-k SiOCH Film with Sufficient Modulus and Ultra-High Thermal Stability Formed by Low-Temperature Pulse-Time-Modulated Neutral-Beam-Enhanced CVD, 2010 IEEE International Interconnect Technology Conference, (San Francisco, 2010/06/07).
  234. Chi-Hsien Huang, Makoto Igarashi, Mohd Fairuz Budiman, Xuan-Yu Wang, Ryuji Oshima, Ichiro Yamashita, Yoshitaka Okada, and Seiji Samukawa, High-density and Well-ordered Si-Nanodisk Array with Controllable Band Gap Energy and High Photon Absorption Coefficient for All-Silicon Tandem Solar Cell Applications, 35th IEEE Photovoltaic Specialist Conference, (Honolulu, 2010/06/20).
  235. (招待講演) Seiji Samukawa, Structure Designable Formation Technique of Super Low-K SiOCH Film by Neutral Beam Enhanced CVD, 10th Asia Pacific Conference on Plasma Science and Technology, (Jeju, 2010/07/04).
  236. Maju Tomura, Y Yoshida, Takahito Ono, Chi-Hsien Huang, and Seiji Samukawa, Degradation of Mechanical Characteristics of Silicon Microcantilever due to Plasma Induced Defects, 5th Asia-Pacific Conference on Transducers and Micro-Nano Technology, FT15 (Perth, 2010/07/08).
  237. Junji Adachi, Tomohiro Kubota, Masayuki Yahiro, Seiji Samukawa, and Chihaya Adachi, Formation of Cylindrical Shaped Organic Light Emitting Diode Etched by Damage Free Neutral Beam, Korea-Japan Joint Forum International Conference on Organic Materials for Electronics and Photonics, PA001 (北九州, 2010/08/23).
  238. (招待講演) Seiji Samukawa, Ultimate top-down processes for future nano-scale, 18th International Vacuum Congress, PST1-KN-2 (Beijing, 2010/08/23).
  239. (招待講演) Tomohiro Kubota, Hiroto Ohtake, and Seiji Samukawa, Large-diameter Neutral Beam Source for Practical Low-damage Etching Processes, 18th International Vacuum Congress, PST1-IN-2 (Beijing, 2010/08/23).
  240. X. Y. Wang1,3, C. H. Huang1,3, Y. Ohno1,3, M. Igarashi1,3, Akihiro Murayama2,3 and Seiji Samukawa1,3 , Defect-free GaAs/AlGaAs Heterostucture Etching Process by Chlorine/Argon Mixed Gas Neutral Beam, 2010 International Conference on Solid State Devices and Materials, I-3-5 (Tokyo, 2010/09/23).
  241. Chi-Hsien Huang, Makoto Igarashi, Mohd Fairuz Budiman, R. Oshima, I. Yamashita, Y. Okada, and S. Samukawa, Optical Characteristics of Two-dimensional Array of Si Nano-disks Fabricated by Defect-free Neutral Beam Etching with Bio-template, 2010 International Conference on Solid State Devices and Materials, L-3-5 (Tokyo, 2010/09/23).
  242. Toru Sasaki, Shigeo Yasuhara, T. Shimayama, K. Tajima, H. Yano, Shingo Kadomura, Masaki Yoshimaru, N. Matsunaga and Seiji Samukawa, DMOTMDS/MTMOS Multi-Stacked SiOCH films for Super-Low-k and Sufficient Modulus Formed by Damage-free Neutral Beam Enhanced CVD, 2010 International Conference on Solid State Devices and Materials, H-5-2 (Tokyo, 2010/09/23).
  243. Yoshinari Ichihashi, Yasushi Ishikawa and Seiji Samukawa, New Concept of Plasma-induced Damage in MNOS FET during Thick Dielectric Film Etching Using Fluorocarbon Gas Plasma, 2010 International Conference on Solid State Devices and Materials, P-1-16 (Tokyo, 2010/09/23).
  244. (招待講演) Seiji Samukawa, Novel Quantum Effect Devices realized by Fusion of Bio-template and Defect-Free Neutral Beam Etching, 2010 International Conference on Solid State Devices and Materials, G-8-1 (Tokyo, 2010/09/24).
  245. Osamu Nukaga, S. Yamamoto, K.V. Tabata, Tomohiro Kubota, Seiji Samukawa, and Masakazu Sugiyama, LATERAL NANO-CHANNEL FABRICATED IN FUSED SILICA BY FEMTOSECOND LASER IRRADIATION AND WET ETCHING, The 14th International Conference on Miniaturized Systems for Chemistry and Life Sciences (μTAS2010), T5F (Groningen, 2010/10/05).
  246. J.P. Zhao, L. Chen, M. Funk, R. Bravenec, R. Sundararajan, Koji Koyama, T. Nozawa, Seiji Samukawa, Spatial Evolution of Plasma Generated VUV in a Microwave Surface-Wave Plasma, American Vacuum Society 57th International Symposium & Exhibition, PS2-TuA-2 (Albuquerque, 2010/10/19).
  247. T. Uesugi, Koji Koyama, Butsurin Jinnai, S. Maeda, K. Kato, A. Yasuda, H. Momose, Seiji Samukawa, Deciding Factors for Line-Edge-Roughness (LER) Formation and Plasma-Resistance of ArF Photoresist during Plasma Etching Processes, American Vacuum Society 57th International Symposium & Exhibition, PS1-WeA-3 (Albuquerque, 2010/10/20).
  248. Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono, Yasuroh Iriye, Osamu Nukaga, Shinji Ueki, Tomohiro Kubota, Masakazu Sugiyama, Seiji Samukawa, Numerical Simulation of Neutral Beam Generation by Quantum Electrons Dynamics, American Vacuum Society 57th International Symposium & Exhibition, PS2-WeA-3 (Albuquerque, 2010/10/20).
  249. Xuan-Yu Wang, Chi-Hsien Huang, Y. Ohno, M. Igarashi, Akihiro Murayama, Seiji Samukawa, Development of a Defect-Free GaAs/AlGaAs Heterostructure Etching Process Utilizing Chlorine and Argon Mixed Neutral Beam, American Vacuum Society 57th International Symposium & Exhibition, PS2-WeA-4 (Albuquerque, 2010/10/20).
  250. Tomohiro Kubota, Shinji Ueki, Osamu Nukaga, Masakazu Sugiyama, Hiroto Ohtake, Seiji Samukawa, Silicon Etching using Large Diameter Neutral Beam Source, American Vacuum Society 57th International Symposium & Exhibition, PS2-WeA-8 (Albuquerque, 2010/10/20).
  251. Chi-Hsien Huang, Maju Tomura, Y. Yoshida, Takahito Ono, Satoshi Yamasaki, Seiji Samukawa, Plasma-induced Mechanical Degradation of Silicon Microcantilever, American Vacuum Society 57th International Symposium & Exhibition, PS1-WeA-9 (Albuquerque, 2010/10/20).
  252. Akira Wada, Kazuhiko Endo, Meishoku Masahara, Satoshi Yamasaki, Seiji Samukawa, Low Temperature, Lattice-plane-free, Anisotropic and Damage-free Oxidation by Neutral Beam Technology, American Vacuum Society 57th International Symposium & Exhibition, PS2-WeA-10 (Albuquerque, 2010/10/20).
  253. Toru Sasaki, Shigeo Yasuhara, T. Shimayama, K. Tajima, H. Yano, Shingo Kadomura, Masaki Yoshimaru, N. Matsunaga, Seiji Samukawa, Structure-designable Method to form Super low-k SiOC Film by Neutral-Beam-Enhanced Chemical Vapour Deposition, American Vacuum Society 57th International Symposium & Exhibition, PS2-WeA-11 (Albuquerque, 2010/10/20).
  254. Akira Wada, Kazuhiko Endo, Meishoku Masahara, and Seiji Samukawa, Fabrication of Asymmetric Gate-oxide Thickness Four-terminal FinFETs using Neutral-Beam Oxidation Process, Seventh International Conference on Flow Dynamics, OS7-98 (Sendai, 2010/11/03).
  255. Takashi Morie, Yilai Sun, Haichao Liang, Kazuki Nakada, Makoto Igarashi, Chi-Hsien Huang, and Seiji Samukawa, Fundamental Study on Spiking Neuron D evices, Seventh International Conference on Flow Dynamics, CRF-38 (Sendai, 2010/11/02).
  256. Rikako Tsukamoto, Ichiro Yamashita, and Seiji Samukawa, Self-AssembledBio-Conjugates Nano-Masks for Sub-10nm Ultra-Fine Nano-Etching, Seventh International Conference on Flow Dynamics, CRF-48 (Sendai, 2010/11/02).
  257. Maji Tomura, Chi-Hsien Huang, Y. Yoshida, Takahito Ono, Satoshi Yamasaki, and Seiji Samukawa, MECHANISM OF MECHANICAL DETERIORATION IN SILICON MICROCANTILEVER INDUCED BY PLASMA PROCESS, IEEE Sensors 2010 Conference, (Waikoloa, 2010/11/04).
  258. (招待講演) Seiji Samukawa, Ultimate Top-down Preocesses for Future Nano-scale Devices, China Semiconductor Technology International Conference, (Shanghai, 2011/03/13).
  259. Tomohiro Kubota, S.Ueki, Y.Nishimori, G. Hashiguchi, M.Sugiyama, Seiji Samukawa, Damage-free silicon etching using large diameter neutral beam source, International Conference on Electronics Packaging 2011, TD5-2 (Nara, 2011/04/14).
  260. O.Nukaga, S.Yamamoto, K.V.Tabata, Tomohiro Kubota, Seiji Samukawa, M.Sugiyama, Embedded Nano-channel Fabricated in Fused Silica by Femtosecond Laser Irradiation and Wet Etching for Nano-scale Fluid Devices, International Conference on Electronics Packaging 2011, TD5-3 (Nara, 2011/04/14).
  261. Junji Adachi, Tomohiro Kubota, M.Yahiro, Seiji Samukawa, C. Adachi, Minimizing Etching Damages of Organic Semiconductor Layers by Neutral Beams, International Conference on Electronics Packaging 2011, TD4-4 (Nara, 2011/04/14).
  262. Xuan-Yu Wang, Chi-Hsien Huang, Rikako Tsukamoto, Toshiyuki Kaizu, Makoto Igarashi, Pierre-Andre Mortemousque, Hajime Shinohara, Yoshitaka Okada, Akihiro Murayama, Kohei Itoh, Yuzo Ohno, Yamashita Ichiro, and Seiji Samukawa, Damage-free Top-down Processes of Fabricating Two-dimensional Array of Sub-10nm Nanometer GaAs Nanodisks using Bio-template and Neutral Beam Etching for Intermediate Band Solar Cell Applications, 37th IEEE Photovoltaic Specialists Conference, 759 (Seattle, 2011/06/23).
  263. Makoto Igarashi, Chi-Hsien Huang, Xuan-Yu Wang, Mohd Fairuz Budiman, Yosuke Tamura, Takayuki Kiba, Akihiro Murayama, Toshiyuki Kaizu, Yoshitaka Okada, and Seiji Samukawa, Optical Absorption, Photo-Luminescence and Miniband Formation of a Highly Ordered and Dense 2-Dimensional Array of Si Nanodisks for quantum Dot Solar Cells, 37th IEEE Photovoltaic Specialists Conference, 992 (Seattle, 2011/06/24).
  264. (招待講演) Seiji Samukawa and Tomohiro Kubota, Novel Quantum Effect Devices realized by Bio-template and Defect-Free Neutral Beam Etching, 2011 IEEE International NanoElectronics Conference, B2-6 (Tao-Yuan, 2011/06/22).
  265. Akira Wada, Kazuhiko Endo, Meishoku Masahara, Chi-Hsien Huang, and Seiji Samukawa, Low-activation-energy and High-quality Oxidation of Si Using Neutral Beam, 2011 IEEE International NanoElectronics Conference, A8-7 (Tao-Yuan, 2011/06/23).
  266. Takayuki Kiba, Y. Mizushima, Akihiro Murayama, Makoto Igarashi, Chi-Hsien Huang, and Seiji Samukawa, Inter-disk electron transfer in Si-nanodisk arrays fabricated by using bio-templates, 16th International Conference on Luminescence and Optical Spectroscopy of Condensed Matter, (Ann Arber, 2011/06/27).
  267. Tomohiro Kubota and Seiji Samukawa, Silicon etching using large-diameter neutral beam source, 3rd International Conference on Microelectronics and Plasma Technology, S-18O (Dalian, 2011/07/05).
  268. Akira Wada, Toru Sasaki, Shigeo Yasuhara, and Seiji Samukawa, Super-low-k SiOCH Film with Sufficient Film Modulus and High Thermal Stability Created by Controlling Molecular-level-structure in Neutral-beam-enhanced CVD, Advanced Metallization Conference 2011: 21st Asian Session, 2-2 (Tokyo, 2011/09/13).
  269. Akira Wada, Kazuhiko Endo, Meishoku Masahara, Seiji Samukawa, High Quality Germanium Dioxide Formation using Damage-Free and Low-temperature Neutral Beam Oxidation Process, 2011 International Conference on Solid State Devices and Materials, P-1-1 (Nagoya, 2011/09/29).
  270. Akira Wada, Yuki Yanagisawa, Maju Tomura, Chi-Hsien Huang, Satoshi Yamasaki, Takahito Ono, and Seiji Samukawa, Effect of thermal annealing on mechanical properties of single-crystal silicon microcantilever after plasma irradiation , 2011 International Conference on Solid State Devices and Materials, P-11-11 (Nagoya, 2011/09/29).
  271. Yosuke Tamura, Xuan-Yu Wang, Chi-Hsien Huang, Tomohiro Kubota, Jitsuo Ohta, Hiroshi Fujioka, and Seiji Samukawa, Damage-free GaN Etching by Chlorine Neutral Beam, 2011 International Conference on Solid State Devices and Materials, A-8-4 (Nagoya, 2011/09/30).
  272. Mohd Fairuz Budiman, Makoto Igarashi, Kohei M. Itoh, Ichiro Yamashita, Weiguo Hu, and Seiji Samukawa, Fabricating a 2D Array of φ6-nm, High Density (1.2 × 1012 cm-2), and Periodic Silicon-Nanodisk Structures and its Optical Characteristics for Solar Cells , 2011 International Conference on Solid State Devices and Materials, H-7-3 (Nagoya, 2011/09/30).
  273. Jun Ishimoto, Daisuke Tan, U. Oh, Tomohiro Kubota, and Seiji Samukawa, Removal-Cleaning Performance Using Cryogenic Micro-Solid Nitrogen Spray, 220th ECS Meeting & Electrochemical Energy Summit, 2058 (Boston, 2010/10/11).
  274. Shih-Hung Lin, Xuan-Yu Wang, Chi Hsien Huang, Yuzo Ohno, Makoto Igarashi, Akihiro Murayama, and Seiji Samukawa, Fabrication of Two-dimensional Array of Sub-10nm GaAs Nanodisk using Bio-template Neutral Beam Etching Process, American Vacuum Society 58th International Symposium & Exhibition, EN+EM+NS-MoA-1 (Nashville, 2011/10/31).
  275. Takuji Uesugi, Akira Wada, Shinichi Maeda, Keisuke Kato, Atsushi Yamada, Satoshi Sakuma, Seiji Samukawa, Dependence of ArF Photoresist Polymer Structure on Line-Edge-Roughness Formation during Plasma Etching Processes, American Vacuum Society 58th International Symposium & Exhibition, PS+SE-MoA-2 (Nashville, 2011/10/31).
  276. Ryosuke Araki, Kazuhiro Miwa, Tomohiro Kubota, Takuya Iwasaki, Kohei Ono, and Seiji Samukawa, Prediction of ion sheath shape and ion trajectory during plasma etching processing using on-wafer monitoring technique, American Vacuum Society 58th International Symposium & Exhibition, PS2-TuA1 (Nashville, 2011/11/01).
  277. (招待講演) Seiji Samukawa, 2010 Plasma Prize Lecture - Super-low Damage Top-down Processing for Future Nanoscale Devices, American Vacuum Society 58th International Symposium & Exhibition, PS-ThM1 (Nashville, 2011/11/03).
  278. Tomohiro Kubota, Naoki Watanabe, Shingo Ohtsuka, Kohei Ono, Hiroto Ohtake, Shinji Ueki, Yuki Nishimori, Gen Hashiguchi, and Seiji Samukawa, High-aspect-ratio silicon etching using large-diameter neutral beam source, American Vacuum Society 58th International Symposium & Exhibition, PS-ThM6 (Nashville, 2011/11/03).
  279. Akira Wada, Kazuhiko Endo, Meishoku Masahara, and Seiji Samukawa, The mechanism of thin SiO2 and GeO2 film formation during low-temperature neutral beam oxidation process, American Vacuum Society 58th International Symposium & Exhibition, PS-ThM10 (Nashville, 2011/11/03).
  280. Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono, Yasuro Iriye, Shinji Ueki, Osamu Nukaga, Tomohiro Kubota, Masakazu Sugiyama, and Seiji Samukawa, Theoretical analysis of electron transfer during the process of neutral beam generation, American Vacuum Society 58th International Symposium & Exhibition, PS-ThM4 (Nashville, 2011/11/03).
  281. Shingo Ohtsuka, Naoki Watanabe, Takuya Iwasaki, Kohei Ono, Yasuroh Iriye, Osamu Nukaga, Shinji Ueki, Tomohiro Kubota, Masakazu Sugiyama, and Seiji Samukawa, Energy and Angular Distribution Analysis for Neutral Beam and Application for Etching Simulation, American Vacuum Society 58th International Symposium & Exhibition, PS-ThM5 (Nashville, 2011/11/03).
  282. Yuki Nishimori, Shinji Ueki, Tomohiro Kubota, Seiji Samukawa, Masakazu Sugiyama, and Gen Hashiguchi, Qualitative Research on Low-Damage Neutral Beam Etching Effect of Mechanical Properties, American Vacuum Society 58th International Symposium & Exhibition, PS-ThP16 (Nashville, 2011/11/03).
  283. Kazuhiko Endo, Akira Wada, and Seiji Samukawa, Neutral Beam Fabrication Technology for the Double Gate MOSFET, Eighth International Conference on Flow Dynamics, CRF-40 (Sendai, 2011/11/10).
  284. Jingnan Cai, Seiji Samukawa, and Kazumi Wada, Low Damage Fabricationof Si Photonic Devices by Neutral Beam Technology, Eighth International Conference on Flow Dynamics, CRF-41 (Sendai, 2011/11/10).
  285. Ichiro Yamashita and Seiji Samukawa, Development of Structure-controllable Multi-disk Single-electron Transistors by Ultimate Etching Technique with Bio-templating, Eighth International Conference on Flow Dynamics, CRF-43 (Sendai, 2011/11/10).
  286. Toru Kurebayashi, Yusuke Hoshi, Kentarou Sawano, Yasuhiro Shiraki, Akira Wada, and Seiji Samukawa, Development of High Performance Strained-Ge Channel Device Utilizing Neutral-beam Oxidized Film, Eighth International Conference on Flow Dynamics, CRF-44 (Sendai, 2011/11/10).
  287. Takashi Morie, Haichao Liang, Yilai Sun, Makoto Igarashi, and Seiji Samukawa, Fundamental Study on Spiking Neuron Devices, Eighth International Conference on Flow Dynamics, CRF-45 (Sendai, 2011/11/10).
  288. Nurrul Syafawati Binti Humam, Nobuhiro Tsumori, Motoki Takahashi, Toshiharu Saiki, and Seiji Samukawa, Numerical Simulation of Electronic States of Regularly Arrayed Si Quantum Dot System, Eighth International Conference on Flow Dynamics, CRF-46 (Sendai, 2011/11/10).
  289. Makoto Igarashi, Mohda Fairuz Budiman, Weiguo Hu, and Seiji Samukawa, A High Density 2D Array of φ6-nm Silicon-Nanodisk Structures and its Optical Characteristics for Solar Cells, Eighth International Conference on Flow Dynamics, OS12-77 (Sendai, 2011/11/11).
  290. (招待講演) Seiji Samukawa, Damage-free Neutral Beam Etching, Deposition and Surface Modification Processes for Novel Nano-scale Devices, 64th Gaseous Electronics Conference and 53rd Annual Meeting of the APS Division of Plasma Physics, DT1.00001 (Salt Lake City, 2011/11/15).
  291. Mohd Fairuz Budiman, Makoto Igarashi, Kohei M. Itoh, Ichiro Yamashita, Weiguo Hu, and Seiji Samukawa , Optical Characteristics of 6-nm Si Quantum Nanodisk Array Structure by Bio-template and Neutral Beam Etching, 21st International Photovoltaic Science and Engineering Conference, 3D-5P-27 (Fukuoka, 2011/11/30).
  292. Weiguo Hu, Mohd Fairuz Budiman, Makoto Igarashi, Kohei M. Itoh, Ichiro Yamashita, and Seiji Samukawa, Energy Band Engineering of Si Nanodisk and Potential Application for Intermediate Band Solar Cell, 21st International Photovoltaic Science and Engineering Conference, 5C-5O-05 (Fukuoka, 2011/12/02).
  293. Ryosuke Araki, Tomohiro Kubota, and Seiji Samukawa, Prediction of ion sheath shape and ion trajectory during plasma etching processing using on-wafer monitoring technique and simulation, The 8th EU-Japan Joint Symposium on Plasma Processing, CO2 (Nara, 2012/01/16).
  294. (招待講演) Naoki Watanabe, Tomohiro Kubota, and Seiji Samukawa, Numerical study on electron transfer mechanism by collision of ions at graphite surface in highly-efficient neutral beam generation , The 8th EU-Japan Joint Symposium on Plasma Processing, I17 (Nara, 2012/01/17).
  295. Yuki Nishimori, Shinji Ueki, Kazuhiro Miwa, Tomohiro Kubota, Seiji Samukawa, Gen Hashiguchi, and Masakazu Sugiyama, Recovery of plasma-induced mechanical damage in resonators using neutral beam etching; wafer-scale validation by arrayed cantilevers, The 25th International Conference on Micro Electro Mechanical Systems, 32-TH (Paris, 2012/02/02).
  296. (招待講演) Seiji Samukawa, Ultimate top-down processes for future nanoscale devices, SPIE Advanced Lithography 2012, 8328-07 (San Jose, 2012/02/13).
  297. Weiguo Hu, Mohd Fairuz Budiman, Makoto Igarash, Ming-Yi Lee, Yiming Li, and Seiji Samukawa, Well aligned Si Nanodisks and in-plane minibands formation, International Conference on Quantum Dots, T29 (Santa Fe, 2012/05/15).
  298. (招待講演) Seiji Samukawa, Novel Quantum Dot Solar Cells realized by Fusion of Bio-template and Defect-Free Neutral Beam Etching, 2012 workshop on Nanotechnology in Life Science Application , (Tao-Yuan, 2012/05/14).
  299. Weiguo Hu, Mohd Fairuz Budiman, Makoto Igarash, Ming-Yi Lee, Yiming Li, and Seiji Samukawa, In-Plane Miniband Formation of Si Nanodisk and Its Application in Intermediate-Band Photovoltaics, 38th IEEE Photovoltaic Specialists Conference, 223 (Austin, 2012/06/05).
  300. Makoto Igarashi, Mohd Fairuz Budiman, Wugen Pan, Yusuke Hoshi, Weiguo Hu, Mohd Erman Syazwan, Kentarou Sawano, Noritaka Usami, Seiji Samukawa, High-Efficiency Quantum Dot Solar Cells Using 2-Dimensional 6.4-nm-Diameter Si Nanodisk with SiC Interlayer, 38th IEEE Photovoltaic Specialists Conference, 932 (Austin, 2012/06/08).
  301. Kazuhiro Miwa, Yuki Nishimori, Shinji Ueki, Masakazu Sugiyama, Tomohiro Kubota, and Seiji Samukawa, Novel dry process for planarization of MEMS sidewall using neutral beam etching, 2012 ASME/JSME Joint International Conference on Micromechatronics for Information and Precision Equipment, S14_01 (Santa Clara, 2012/06/19).
  302. Takayuki Kiba, Y. Mizushima, Makoto Igarashi, Seiji Samukawa, and Akihiro Murayama, Picosecond carrier dynamics induced by coupling of wavefunctions in a Si-nanodisk array fabricated by neutral-beam etching using bio-nano-templates, International Conference on Superlattices, Nanostructures and Nanodevices, ThP1-13 (Dresden, 2012/07/26).
  303. Koudo Nakaji, Hao Li, Takayuki Kiba, Makoto Igarashi, Seiji Samukawa, and Akihiro Murayama, Plasmonic enhancement of photoluminescence in hybrid Si nanostructures with Au fabricated by fully top-down lithography, International Conference on Superlattices, Nanostructures and Nanodevices, TuP2-9 (Dresden, 2012/07/24).
  304. Yosuke Tamura, Makoto Igarashi, Mohd Erman Fauzi, Rikako Tsukamoto, Toshiyuki Kaizu, Takayuki Kiba, Ichiro Yamashita, Yoshitaka Okada, Akihiro Murayama, and Seiji Samukawa, High-density and Sub-20-nm GaAs Nanodisk Array Fabricated Using Neutral Beam Etching Process for High Performance QDs Devices, 12th International Conference on Nanotechnology, 7751 (Birmingham, 2012/08/22).
  305. Akira Wada, Rui Zhang, Shinichi Takagi, Seiji Samukawa, Thin Germanium Dioxide Film with a High Quality Interface Formed in a Direct Neutral Beam Oxidation Process, The 42nd European Solid-State Device Research Conference, 3096 (Bordeaux, 2012/09/18).
  306. Tomohiro Kubota and Seiji Samukawa, Feature Profile Evolution in Plasma Processing Using Wireless On-Wafer Monitoring System, Ninth International Conference on Flow Dynamics, TFI-5 (Sendai, 2012/09/19).
  307. Jingnan Cai, Seiji Samukawa, and Kazumi Wada, Management of Light by Si/SiO2 Stack Photonic Band Gap Structure for Neutral Beam Technology based Tandem Solar Cell, Ninth International Conference on Flow Dynamics, CRF-43 (Sendai, 2012/09/20).
  308. Kazuhiko Endo, Akira Wada, and Seiji Samukawa, A Study of the Next Generatoin CMOS by the Neutral Beam Process, Ninth International Conference on Flow Dynamics, CRF-45 (Sendai, 2012/09/20).
  309. Takashi Morie, Haichao Liang, Takashi Tohara, Kazuhiko Endo, Makoto Igarashi, and Seiji Samukawa, Intelligent Information Processing Circuits Using Nanodisk Array Structure, Ninth International Conference on Flow Dynamics, CRF-46 (Sendai, 2012/09/20).
  310. Hiroto Takenaka, Michito Shinohara, Takafumi Uchida, Masashi Arita, Seiji Samukawa, and Yasuo Takahashi, High-Frequency Characteristics of Si Single-Electron Transistor, Ninth International Conference on Flow Dynamics, CRF-53 (Sendai, 2012/09/20).
  311. Kentarou Sawano, Masato Watanabe, Arata Komatsu, Hiroshi Nohira, Akira Wada, and Seiji Samukawa, Development of High Performance Strained-Ge Channel Device Utilizing Neutral-Beam Oxidized Film, Ninth International Conference on Flow Dynamics, CRF-54 (Sendai, 2012/09/20).
  312. Ichiro Yamashita and Seiji Samukawa, Development of a Field Effect Transistor with Channel Surface Covoered by Probe-Biomolecules with a Newly Developed Aptamer, Ninth International Conference on Flow Dynamics, CRF-78 (Sendai, 2012/09/20).
  313. (招待講演) Seiji Samukawa, Novel Quantum Dot Solar Cells realized by Fusion of Bio-template and Defect-Free Neutral Beam Etching, Ninth International Conference on Flow Dynamics, OS6-2 (Sendai, 2012/09/21).
  314. Takayuki Kiba, Kenta Suzaki, Hao Li, Makoto Igarashi, Seiji Samukawa, and Akihiro Murayama, Surface/interface-related optical properties in Si nanodisks fabricated by neutral-beam etching using bio-templates, 17th International Conference on Molecular Beam Epitaxy, ThP-55 (Nara, 2012/09/27).
  315. Toshiyuki Kaizu, Yosuke Tamura, Makoto Igarashi, Weiguo Hu, Seiji Samukawa and Yoshitaka Okada, Observation of photoluminescence from 2-dimentional GaAs nanodisk array regrown by atomic hydrogen-assisted molecular beam epitaxy, 17th International Conference on Molecular Beam Epitaxy, ThA-2-2 (Nara, 2012/09/27).
  316. Akira Wada, Rui Zhang, Shinichi Takagi and Seiji Samukawa, High Quality Germanium Dioxide Formation Using Damage-Free and Low-Temperature Neutral Beam Oxidation Process, 2012 International Conference on Solid State Devices and Materials, PS-1-5 (Kyoto, 2012/09/26).
  317. Yosuke Tamura, Jitsuo Ohta, Hiroshi Fujioka, and Seiji Samukawa, Damage-free Neutral Beam Etching for High-performance GaN HEMT, 2012 International Conference on Solid State Devices and Materials, F-9-5 (Kyoto, 2012/09/27).
  318. Makoto Igarashi, Weiguo Hu, Mohd Erman Syazwan, and Seiji Samukawa, Enhancement of Electrical Conductivity by Miniband Formation In Silicon Quantum Dot Superlattice Structure, 2012 International Conference on Solid State Devices and Materials, H-3-3 (Kyoto, 2012/09/26).
  319. Tomohiro Kubota, Akira Wada, Yuuki Yanagisawa, Batnasan Altansukh, Kazuhiro Miwa, Takahito Ono, and Seiji Samukawa, 3-Dimensional and Defect-free Etching by Neutral Beam for MEMS Applications, 2012 International Conference on Solid State Devices and Materials, G-1-5 (Kyoto, 2012/09/25).
  320. Akira Wada, Rui Zhang, Shinichi Takagi, Seiji Samukawa, Formation of 1.7-nm-thick-EOT Germanium Dioxide Film with a High-Quality Interface Using a Direct Neutral Beam Oxidation Process, Pacific Rim Meeting (222nd meeting of ECS), 3243 (Honolulu, 2012/10/12).
  321. Daiki Nakayama, Akira Wada, Tomohiro Kubota, Moritz Haass, Robert Bruce, Ryan. M. Martin, Nicholas Fuller, Seiji Samukawa, Highly Selective and Controllable Si3N4 Etching to Si and SiO2 for sub-22-nm Gate Spacer using CF3 Neutral Beam with O2 and H2, AVS 59th International Symposium and Exhibition, PS-MoM6 (Tampa, 2012/10/29).
  322. Yoshiyuki Kikuchi, Akira Wada, and Seiji Samukawa, Functional carbon contained film formation using Neutral-Beam-Enhanced Chemical-Vapor-Deposition by microwave plasma, AVS 59th International Symposium and Exhibition, PS1-TuA9 (Tampa, 2012/10/30).
  323. Kazuhiro Miwa, Yuki Nishimori, Shinji Ueki, Masakazu Sugiyama, Tomohiro Kubota, and Seiji Samukawa, Low Damage Etching Process for Fabricating Micro Electro Mechanical Systems (MEMS) Devices using Neutral Beam, AVS 59th International Symposium and Exhibition, MN-TuP4 (Tampa, 2012/10/30).
  324. Mohd Erman Fauzi, Makoto Igarashi, Weiguo Hu, and Seiji Samukawa, Fabrication of 3D Array Si Quantum Dots Superlattice Using Biotemplate and Neutral Beam Etching, AVS 59th International Symposium and Exhibition, EN+PS-WeM11 (Tampa, 2012/10/31).
  325. Shingo Ohtsuka, Naoki Watanabe, Tomohiro Kubota, Takuya Iwasaki, Yasuroh Iriye, Kohei Ono, and Seiji Samukawa, Theoretical calculation of neutralization efficiency of positive and negative chlorine ions with consideration of excited states, AVS 59th International Symposium and Exhibition, PS2-ThM9 (Tampa, 2012/11/01).
  326. Yosuke Tamura, Makoto Igarashi, Mohd Erman Fauzi, Weiguo Hu, Ichiro Yamashita, and Seiji Samukawa, High-aspect Ratio and Diameter Controlled GaAs/AlGaAs Nano-pillar fabrication using defect-free neutral beam etching and bio-template process, AVS 59th International Symposium and Exhibition, PS2-ThM10 (Tampa, 2012/11/01).
  327. Yuuki Yanagisawa, Tomohiro Kubota, Batnasan Altansukh, Kazuhiro Miwa, and Seiji Samukawa, 3-Dimensional and defect-free neutral beam etching for MEMS applications, AVS 59th International Symposium and Exhibition, PS2-ThM11 (Tampa, 2012/11/01).
  328. Akira Wada, Tomohiro Kubota, Yuuki Yanagisawa, Batnasan Altansukh, Kazuhiro Miwa, Takahito Ono, and Seiji Samukawa, 3-Dimensional and Damage-Free Neutral Beam Etching for MEMS Application, IEEE Sensors 2012 Conference, B3P-J7 (Taipei, 2012/10/30).
  329. (招待講演) Seiji Samukawa, Quantum Dots Super-lattice Structure for Solar Cells Utilizing a Bio-template and Damage-free Neutral Beam Etching, IEEE International Conference on Solid-State and Integrated Circuit Technology, S17_03 (Xi'an, 2012/10/31).
  330. Weiguo Hu, Makoto Igarashi, Ming-Yi Lee, Yiming Li, and Seiji Samukawa, 50% Efficiency Intermediate Band Solar Cell Design Using Highly Periodical Silicon Nanodisk Array, IEEE International Electron Devices Meeting, 6.1 (San Francisco, 2012/12/10).
  331. (招待講演) Seiji Samukawa, Makoto Igarashi, Tomohiro Kubota, and Weiguo Hu, High quality Nanodisk Superlattice and its application in novel optoelectronic device, The 2nd International Conference on Small Science, (Orlando, 2012/12/17).
  332. (招待講演) Seiji Samukawa, Novel Quantum Effect Devices realized by Fusion of Biotemplate and DefectFree Neutral Beam Etching, 2013 IEEE International NanoElectronics Conference, 496 (Singapore, 2013/01/04).
  333. (招待講演) Seiji Samukawa, Ultimate Top-down Etching Processes for Future Nano-scale Devices, Semicon Korea SEMI Technology Symposium, (Seoul, 2013/01/31).
  334. (招待講演) Seiji Samukawa, Novel Quantum Effect Devices realized by Fusion of Bio-template and Defect-Free Neutral Beam Etching, AIMR International Symposium 2013, Tue-10B (Sendai, 2013/02/19).
  335. Takeo Ohno and Seiji Samukawa, Electroionics-Based Metal-Oxide-Metal Switch for Artificial Neuromorphic Systems, The AIMR International Symposium 2013, P-79 (Sendai, 2013/02/19).
  336. Akio Higo, Y. Tamura, M. Igarashi and Seiji Samukawa, Fabrication and Optical Response of High-density GaAs Nanodisk Array Using Neutra Beam Etching, The AIMR International Symposium 2013, P-77 (Sendai, 2013/02/19).
  337. Keisuke Kato, Atsushi Yasuda, Shin-ichi Maeda, Takuji Uesugi, Takeru Okada, Akira Wada, Seiji Samukawa, Novel ArF resist polymer to suppress the roughness formation in plasma etching processes , SPIE Advanced Lithography 2013, 8682-62 (San Jose, 2013/02/26).
  338. Weiguo Hu, Mohammad Maksudur Rahman, Takeru Okada, Akio Higo, Yiming Li, and Seiji Samukawa, Simulation of Type-II Ge/Si Quantum Dot Solar Cells, 16th International Workshop on Computational Electronics, F6 (Nara, 2013/06/06).
  339. Yoshiyuki Kikuchi, Akira Wada, and Seiji Samukawa, Extremely Non-Porous Ultra-Low-K SiOCH (k=2.3) with Sufficient Modulus (>10 GPa), High Cu Diffusion Barrier and High Tolerance for Integration Process Formed by Large-Radius Neutral-Beam Enhanced CVD, 2013 IEEE International Interconnect Technology Conference, 5-2 (Kyoto, 2013/06/14).
  340. Weiguo Hu, Mohd Erman Fauzi, Makoto Igarashi, Akio Higo, Ming-Yi Lee, Yiming Li, Noritaka Usami, and Seiji Samukawa, Type-II Ge/Si Quantum Dot superlattice for Intermediate-band Solar Cell Applications , 39th IEEE Photovoltaic Specialists Conference, 281 (Tampa, 2013/06/18).
  341. Mohammad Maksudur Rahman, Makoto Igarashi, Weiguo Hu, Mohd Erman Syazwan, Yusuke Hoshi, Noritaka Usami, and Seiji Samukawa, High Photo-Current Generation in a Three-Dimensional Silicon Quantum Dot Superlattice Fabricated by Combination of Bio-Template and Neutral Beam Etching for Quantum Dot Solar Cell, 39th IEEE Photovoltaic Specialists Conference, 689 (Tampa, 2013/06/20).
  342. (招待講演) Seiji Samukawa, Ultimate Top-down Etching Processes for Future Nano-scale Devices, Japan-Australia Commemorative Workshop, (Camberra, 2013/06/25).
  343. Akira Wada, Koki Igarashi, Takeru Okada, Seiji Samukawa, Damage-less Graphene Etching by Oxygen Neutral Beam for Graphene Nanoribbon Fabrication, The 13th IEEE International Conference on Nanotechnology, ThC1.8 (Beijing, 2013/08/08).
  344. Yosuke Tamura, Akio Higo, Takayuki Kiba, Wang Yunpeng, Makoto Igarashi, Cedric Thomas, Weiguo Hu, Mohd Erman Fauzi, Akihiro Murayama, Masakazu Sugiyama, Yoshiaki Nakano, Seiji Samukawa, Photoluminescence of High-density and Sub-20-nm GaAs Nanodisks Fabricated with a Neutral Beam Etching Process and MOVPE Regrowth for High Performance QDs Devices, The 13th IEEE International Conference on Nanotechnology, (Beijing, 2013/08/05).
  345. Mohd Erman Fauzi, Takeru Okada, M. M. Rahman, Yusuke Hoshi, Kentarou Sawano, Ichiro Yamashita, Noritaka Usami, and Seiji Samukawa, Fabrication of Defect-Free Sub-20-nm Germanium Nanodisk Structure Using Bio-template and Neutral Beam Etching, 2013 JSAP-MRS Joint Symposia, 19p-PM5-3 (Kyotanabe, 2013/09/19).
  346. Cedric Thomas, Yosuke Tamura, Akio Higo, Weiguo Hu, Takeru Okada, Naofumi Okuda, Ichiro Yamashita, and Seiji Samukawa, Top-down formation of 2D array of GaAs nano-pillars by using bio-template and neutral beam etching, 2013 JSAP-MRS Joint Symposia, 19p-PM5-2 (Kyotanabe, 2013/09/19).
  347. (招待講演) Seiji Samukawa , Novel Quantum Effect Devices Fabricated Using Fusion of Bio-template and Defect-Free Neutral Beam Etching, 2013 JSAP-MRS Joint Symposia, 17p-M3-7 (Kyotanabe, 2013/09/17).
  348. Yosuke Tamura, Akio Higo, Takayuki Kiba, Wang Yunpeng, Cedric Thomas, Takeru Okada, Weiguo Hu, Akihiro Murayama, Masakazu Sugiyama, Yoshiaki Nakano, Seiji Samukawa, High Density and High Aspect Ratio GaAs/AlGaAs Nanopillar array Fabricated by Fusion of Bio-Template and Neutral Beam Etching, 2013 Internatinal Conference on Solid State Devices and Materials, K-2-5 (Fukuoka, 2013/09/25).
  349. Takeru Okada, Ching-Yuan Su, Chi-Hsien Huang, Kouki Igarashi, Akira Wada, Lain-Jong Li, Kuan-I Ho, Pei-Wen Li, Inn-Hao Chen, Chao-Sung Lai, and Seiji Samukawa, Ultra-low Damage Fabrication of Graphene Nanoribbons by Neutral Beam Etching, 2013 Internatinal Conference on Solid State Devices and Materials, C-4-3 (Fukuoka, 2013/09/26).
  350. Yoshiyuki Kikuchi and Seiji Samukawa, Ultra Low-k Non-Porous SiOCH Film (k < 2.2) Formed by Ultra Precise Molecular Control in Polymerization Synthesis by Using Large-Radius Neutral- Beam-Enhanced CVD, 2013 Internatinal Conference on Solid State Devices and Materials, G-6-4 (Fukuoka, 2013/09/27).
  351. Mohammad Maksudur Rahman, Makoto Igarashi, Weiguo Hu, Mohd Erman Syazwan, Yusuke Hoshi, Noritaka Usami, and Seiji Samukawa, Effects of Miniband in Three-Dimensional Silicon Quantum Dot Superlattice Structure Fabricated by Top-Down Process Using Bio-Template and Neutral Beam Etching for High Efficiency Quantum Dot Solar Cell , 28th European Photovoltaic Solar Energy Conference and Exhibition, 1 AV 3.39 (Paris, 2013/09/30).
  352. (招待講演) Seiji Samukawa, High Efficiency Silicon QD Solar Cells Using Bio-template Ultimate Top-down Processes, IEEE Nanotechnology Materials and Device Conference , TA-3-1 (Tainan, 2013/10/08).
  353. Chi-Hsien Huang, Ching-Yuan Su, Chao-Sung Lai, and Seiji Samukawa, Selective Oxidation of Large-Scale Graphene Sheet by Low Damage Plasma Treatment, IEEE Nanotechnology Materials and Device Conference , TP-1-4 (Tainan, 2013/10/08).
  354. Takeo Ohno and Seiji Samukawa, Resistive Switching in an Ultra-thin Tantalum Oxide Film, IEEE Nanotechnology Materials and Device Conference , TP-4-3 (Tainan, 2013/10/08).
  355. Akio Higo, Yosuke Tamura, Takayuki Kiba, Cedric Thomas, Wang Yunpeng, Masakazu Sugiyama, Yoshiaki Nakano, Akihiro Murayama, and Seiji Samukawa, The Fabrication of GaAs Quantum Nanodisks Combination of Biotemplate, Neutral Beam Etching and MOVPE, IEEE Nanotechnology Materials and Device Conference , MP-4-10 (Tainan, 2013/10/07).
  356. Cedric Thomas, Yosuke Tamura, Akio Higo, Naofumi Okamoto, Ichiro Yamashita, and Seiji Samukawa, Fabrication of GaAs/AlGaAs nano-pillars using bio-tempate combined with neutral beam defect-free etching, AVS 60th International Symposium & Exhibition, PS-ThA8 (Long Beach, 2013/10/31).
  357. Yoshiyuki Kikuchi and Seiji Samukawa, Conductive carbon film formation at low temperature (AVS 60th International Symposium & Exhibition, PS-ThA9 (Long Beach, 2013/10/31).
  358. Tomohiro Kubota, Michio Sato, Takuya Iwasaki, Kohei Ono, and Seiji Samukawa, Feature profile evolution in plasma processing using on-wafer monitoring system, AVS 60th International Symposium & Exhibition, PS-ThM12 (Long Beach, 2013/10/31).
  359. Naoki Watanabe, Shingo Ohtsuka, Shunsuke Mochizuki, Tomohiro Kubota, Takuya Iwasaki, Yasuroh Iriye, Kohei Ono, and Seiji Samukawa, Numerical simulation of total processes of neutral beam etching from generation of neutral beam by collision of ions against graphite sidewall to 3-dimensional etching profile, AVS 60th International Symposium & Exhibition, PS-ThA10 (Long Beach, 2013/10/31).
  360. Takeru Okada, Kouki Igarashi, and Seiji Samukawa, Damage-free Etching of Graphene using Oxygen Neutral Beam towards Edge State Control, AVS 60th International Symposium & Exhibition, GR+AS+BI+PS+SS-ThA4 (Long Beach, 2013/10/31).
  361. (招待講演) Seiji Samukawa, High Efficiency Silicon QD Solar Cells Using Bio-template Ultimate Top-down Processes, 10th International Conference on Flow Dynamics, OS9-17 (Sendai, 2013/11/26).
  362. (招待講演) Tomohiro Kubota and Seiji Samukawa, On-wafer monitoring technique for highly efficient fabrication process of nano energy devices, 10th International Conference on Flow Dynamics, OS9-9 (Sendai, 2013/11/26).
  363. Seiji Samukawa and Tomohiro Kubota, Core Technology Consortium for Advanced Energy Devices, 10th International Conference on Flow Dynamics, E-9 (Sendai, 2013/11/26).
  364. Seiji Samukawa, Green nanodevice by super low damage process, 10th International Conference on Flow Dynamics, E-8 (Sendai, 2013/11/26).
  365. Daisuke Ohori, Atsuhiko Fukuyama, and Seiji Samukawa, Photoconductivity Decay and Carrier Lifetime in Silicon Nanodisk Array Structure Fabricated by Using Bio-templates and Neutral Beam Etching, 10th International Conference on Flow Dynamics, CRF-48 (Sendai, 2013/11/26).
  366. Takashi Morie, Takashi Tohara, Kazuhiko Endo, Makoto Igarashi, and Seiji Samukawa, Intelligent Information Processing Circuits Using Nanodisk Array Structure, 10th International Conference on Flow Dynamics, CRF-49 (Sendai, 2013/11/26).
  367. Takafumi Uchida, Masashi Arita, Akira Fujiwara, Seiji Samukawa, and Yasuo Takahashi, Double-Dot Si Single-Electron Transistor with Tunable Coupling Capacitance, 10th International Conference on Flow Dynamics, CRF-50 (Sendai, 2013/11/26).
  368. Kazuhiko Endo and Seiji Samukawa, Fabrication of Advanced CMOS Transistors, 10th International Conference on Flow Dynamics, CRF-52 (Sendai, 2013/11/26).
  369. Jingnan Cai, Tomohiro Kubota, Seiji Samukawa, and Kazumi Wada, Trimming of Silicon Optical Waveguide by Neutral Beam Oxidation, 10th International Conference on Flow Dynamics, CRF-56 (Sendai, 2013/11/26).
  370. Kazuhiko Karahashi, Satoshi Hamaguchi, and Seiji Samukawa, Beam Studies of Plasma Surface Interaction, 10th International Conference on Flow Dynamics, CRF-60 (Sendai, 2013/11/26).
  371. Ichiro Yamashita, Rikako Tsukamoto, Naofumi Okamoto, Ryouta Matsuyama, Yosuke Tamura, and Seiji Samukawa, Development of Bio-template Process for Etching Mask of 2D Dispersive Nanoparticle Array, 10th International Conference on Flow Dynamics, CRF-62 (Sendai, 2013/11/26).
  372. (招待講演) Seiji Samukawa, High Efficiency Silicon QD Solar Cells Using Bio-template Ultimate Top-down Processes, Tohoku University - IMEC Seminar, (Sendai, 2013/11/08).
  373. Hiroshi Ito, Takuya Kuwahara, Yuji Higuchi, Nobuki Ozawa, Seiji Samukawa, Momoji Kubo, Atomistic Etching Mechanisms of SiO2 Surface by Fluorocarbon Radicals: Quantum Chemical Molecular Dynamics Simulation, 2013 MRS Fall Meeting & Exhibit, XX9.07 (Boston, 2013/12/04).
  374. (招待講演) Yoshiyuki Kikuchi, Yasuaki Sakakibara, and Seiji Samukawa, Large-radius neutral beam-enhanced chemical vapor deposition process for non-porous ultralow-k SiOCH, SPIE Advanced Lithography 2014, 9054-16 (San Jose, 2014/02/25).
  375. (招待講演) Seiji Samukawa, Ultimate Top-down Processes for Future Nanoscale Devices, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, (Fukuoka, 2014/02/03).
  376. (招待講演) Tomohiro Kubota and Seiji Samukawa, Feature Profile Evolution in Plasma Processing using On-wafer Monitoring System, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, 5B-PM-I1 (Fukuoka, 2014/02/05).
  377. Takeo Ohno and Seiji Samukawa, Tantalum Oxide ReRAM Formed by Neutral Beam Oxidation, The AIMR International Symposium 2014, P-75 (Sendai, 2014/02/17).
  378. Akio Higo and Seiji Samukawa, High Intensity, Uniform and Ultra-narrow Line-width Photoluminescence of GaAs Nanodisks Formed by Combination of Bio-template Top-down Process and MOVPE Regrowth, The AIMR International Symposium 2014, (Sendai, 2014/02/17).
  379. Nguyen Van Toan, Tomohiro Kubota, Halubai Sekhar, Seiji Samukawa, and Takahito Ono, Fabrication and evaluation of silicon micromechanical resonator using neutral beam etching technology, The 9th International Conference on Nano/Micro Engineered and Molecular Systems, 122 (Honolulu, 2014/04/14).
  380. Daiki Nakayama, Takeo Ohno, and Seiji Samukawa, Formation of Germanium Oxide Thin Film by Neutral Beam Oxidation Process and Post Oxidation Method, 2014 MRS Spring Meeting & Exhibit, K14.03 (San Francisco, 2014/04/25).
  381. Halubai Sekhar, Tomohiro Kubota, Takeru Okada, Yosuke Tamura, ChangYong Lee, Jitsuo Ohta, Hiroshi Fujioka, and Seiji Samukawa, Damage-free AlGaN/GaN Recess-Gate Etching using Cl2 Neutral Beam for High-Performance HEMTs, The 3rd International Symposiumon Next-Generation Electronics, A1-2 (Taoyuan, 2014/05/08).
  382. Cedric Thomas, Yosuke Tamura, Akio Higo, Takayuki Kiba, Akihiro Murayama, Seiji Samukawa, GaAs/AlgaAs stacked layers etched by neutral beam for the fabrication of quantum dot structures, The 3rd International Symposiumon Next-Generation Electronics, O1-2 (Taoyuan, 2014/05/10).
  383. Seiji Samukawa, Shintaro Ishii, Akio Higo, Yosuke Tamura, Takayuki Kiba, Akihiro Murayama, Yiming Li, Full 3D Quantum Energy Level Simulation for GaAs/AlGaAs Quantum Nanodisks Fabricated by Ultimate Top-down Process, The 3rd International Symposiumon Next-Generation Electronics, E1-4 (Taoyuan, 2014/05/10).
  384. (招待講演) Seiji Samukawa, Neutral Beam Technology ? Defect-free Nanofabrication for Novel Nanomaterials and Nanodevices, The 3rd International Symposiumon Next-Generation Electronics, E2-1 (Taoyuan, 2014/05/10).
  385. Xun Gu, Yoshiyuki Kikuchi, Toshihisa Nozawa, and Seiji Samukawa, A Novel Metallic Complex Reaction Etching for Transitioin Metal and Magnetic Material by Low-Temperature and Damage-Free Neutral Beam Process for Non-Volatile MRAM Device Applications, 2014 Symposia on VLSI Technology and Circuits, 6.5 (Honolulu, 2014/06/10).
  386. Mohammad Maksudur Rahman, Makoto Igarashi, Weiguo Hu, Mohd Erman Syazwan, Takeru Okada, Yusuke Hoshi, Noritaka Usami, and Seiji Samukawa, Effect of Miniband Formation in a Quantum Dot Super Lattice Fabricated by Combination of Bio-Template and Neutral Beam Etching for High Efficiency Quantum Dot Solar Cells, IEEE Photovolatic Specialists Conference, 1724 (Denver, 2014/06/10).
  387. Takuya Fujii, Takeru Okada, Mohd Erman Syazwan, Taiga Isoda, Hirotaka Endo, Mohammad Maksudur Rahman, Kohei Ito, Seiji Samukawa, a-Germanium Nano Disk Array Fabrication by Combination of Bio Template and Neutral Beam Etching for Solar Cell Application, IEEE Photovolatic Specialists Conference, (Denver, 2014/06/10).
  388. (招待講演) Seiji Samukawa, Future Nanotechnology Cultivated by Pulse-Time-Modulated Plasma Processes, 41st EPS Conference on Plasma Physics, I5.312 (Berlin, 2014/06/27).
  389. (招待講演) Seiji Samukawa, 50High Efficiency Nano Energy Devices UsingBio-template Top-Down Process, The 6th IEEE International Nanoelectronics Conference 2014, ED-5004 (Sapporo, 2014/07/29).
  390. Tomohiro Kubota, Michio Sato, Takuya Iwasaki, Kohei Ono, and Seiji Samukawa, Prediction of plasma etching profile using on-wafer monitoring system, The 6th IEEE International Nanoelectronics Conference 2014, INEC0059-NF (Sapporo, 2014/07/30).
  391. Takeo Ohno, Daiki Nakayama, and Seiji Samukawa, Al/Ge Simultaneous Oxidation Process using Oxygen Neutral Beam for Ge MOS Transistor, The 6th IEEE International Nanoelectronics Conference 2014, INEC0064 (Sapporo, 2014/07/30).
  392. Xijiang Chang, Yoshiyuki Kikuchi, Tomohiro Kubota, Kumi Y.Inoue,Tomokazu Matsue, Seiji Samukawa , Conductive DLC deposition by NBECVD for application of Bio-LSI, The 6th IEEE International Nanoelectronics Conference 2014, INEC0067-NC (Sapporo, 2014/07/30).
  393. Cedric Thomas, Yosuke Tamura, Takeru Okada, Akio Higo, Seiji Samukawa, Fundamental mechanisms of neutral beam etching for III-V quantum dots fabrication, The 6th IEEE International Nanoelectronics Conference 2014, INEC0071-NF (Sapporo, 2014/07/30).
  394. Sekhar Halubai, Toan Nguyen Van, Tomohiro Kubota, Takahito Ono, Seiji Samukawa, New trends in NEMS/MEMS device using neutral beam etching technology, The 6th IEEE International Nanoelectronics Conference 2014, INEC0069-MN (Sapporo, 2014/07/29).
  395. Yosuke Tamura, Akio Higo, Takayuki Kiba, Cedric Thomas, Takeru Okada, Wang Yunpeng, Hassanet Sodabanlu, Masakazu Sugiyama, Yoshiaki Nakano, Akihiro Murayama, Seiji Samukawa, GaAs/AlGaAs quantum nanodisks by using neutral beam etching and their optical response, The 6th IEEE International Nanoelectronics Conference 2014, INEC0063-NF (Sapporo, 2014/07/30).
  396. Akio Higo, Takayuki Kiba, Yosuke Tamura, Shintaro Ishii, Cedric Thomas, Takuya Ozaki, Akihiro Murayama, Seiji Samukawa, Optical characteristics of GaAs Quantum Nanodisks by the Combination of Bio-template Ultimate Top-down process, The 6th IEEE International Nanoelectronics Conference 2014, INEC0068-NF (Sapporo, 2014/07/30).
  397. Chang Yong Lee, Akio Higo, Cedric Thomas, YosukeTamura, Seiji Samukawa, Low Temperature InGaAs Oxidation Process using Defect-Free Neutral Beam Technology with Various Indium Concentrations, The 6th IEEE International Nanoelectronics Conference 2014, INEC0070-NF (Sapporo, 2014/07/30).
  398. Takeru Okada and Seiji Samukawa , Neutral beam induced nitridation of multi-layer graphene, The 6th IEEE International Nanoelectronics Conference 2014, INEC0072-NC (Sapporo, 2014/07/30).
  399. Moahmed-Tahar Chentir, Takeru Okada, Naoyuki Kawai, Kazumi Wada, Seiji Samukawa, Bio-Template and Neutral Beam Etching Technique applied for Germanium Nanowires Fabrication, The 6th IEEE International Nanoelectronics Conference 2014, INEC0077-NC (Sapporo, 2014/07/30).
  400. Koki Igarashi, Takeru Okada, Patrick Han, Katsuaki Sugawara, Taro Hitosugi, and Seiji Samukawa, Graphene dots fabrication by a bio-template and a neutral beam etching, The 6th IEEE International Nanoelectronics Conference 2014, INEC0089-NC (Sapporo, 2014/07/30).
  401. Kenichi Yoshikawa, Akio Higo, Chang Yong Lee, Yosuke Tamura, Cedric Thomas, Takayuki Kiba, Shintaro Ishii, Hassanet Sodabanlu, Yunpeng Wang4, Masakazu Sugiyama, Yoshiaki Nakano, Ichiro Yamashita, Akihiro Murayama, Seiji Samukawa, Fabrication of InGaAs quantum nanodisk by using Bio-template and neutral beam etching processes, The 6th IEEE International Nanoelectronics Conference 2014, INEC0096-NF (Sapporo, 2014/07/30).
  402. Shintaro Ishii, Akio Higo, Kenichi Yoshikawa, Yosuke Tamura, Takayuki Kiba, Akihiro Murayama, Yiming Li, Seiji Samukawa, Quantum energy levels simulation for InGaAs/GaAs Quantum Nanodisks fabricated by Ultimate Top-down Process, The 6th IEEE International Nanoelectronics Conference 2014, INEC0097-MS (Sapporo, 2014/07/29).
  403. Takuya Fujii, Takeru Okada, Mohd Erman Syazwan, Taiga Isoda, Hirotaka Endo, Mohammad Maksudur Rahman, Kohei Ito, Seiji Samukawa, Fabrication of High Density Sub-10nm Germanium Nanodisk Array Using Bio-template and Neutral Beam Etching for Solar Cell Application, The 6th IEEE International Nanoelectronics Conference 2014, INEC0101 (Sapporo, 2014/07/30).
  404. Mohammad Maksudur Rahman, Takeru Okada, Noritaka Usami, Seiji Samukawa, Effect of Miniband in a Solar Cell with Quantum Dot Superlattice Fabricated with Bio-templates and Neutral Beam Etching Methods, The 6th IEEE International Nanoelectronics Conference 2014, INEC0105-ED (Sapporo, 2014/07/29).
  405. Takuya Ozaki, Takeru Okada, Tomohiro Kubota, and Seiji Samukawa, Development of damage-free neutral beam processes for future nano-devices, The 6th IEEE International Nanoelectronics Conference 2014, INEC0141-NF (Sapporo, 2014/07/30).
  406. Yoshiyuki Kikuchi, Yasuaki Sakakibara, and Seiji Samukawa, Neutral Beam Enhanced Chemical Vapor Deposition Process for Non-Porous Ultra-low-k SiOCH, The 6th IEEE International Nanoelectronics Conference 2014, INEC0147-NC (Sapporo, 2014/07/30).
  407. Yasuaki Sakakibara, Yoshiyuki Kikuchi, and Seiji Samukawa, The acceleration mechanism and the observation of RF bias enhanced neutral beam, The 6th IEEE International Nanoelectronics Conference 2014, INEC0165-MS (Sapporo, 2014/07/29).
  408. Tomohiro Kubota, Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono, Yasuroh Iriye, and Seiji Samukawa, Numerical study on generation process of neutral beam by collision of ions against graphite surface, The 6th IEEE International Nanoelectronics Conference 2014, INEC0166-MS (Sapporo, 2014/07/29).
  409. Kenichi Yoshikawa, Akio Higo, Chang Yong Lee, Yosuke Tamura, Cedric Thomas, Takayuki Kiba, Shintaro Ishii, Hassanet Sodabanlu, Yunpeng Wang, Masakazu Sugiyama, Yoshiaki Nakano, Ichiro Yamashita, Akihiro Murayama, Seiji Samukawa, Fabrication of InGaAs Quantum Nanodisk Array by Using Bio-Template and Top-Down Etching Processes, 14th IEEE International Conference on Nanotechnology, TuBT6.1 (Toronto, 2014/08/19).
  410. Yosuke Tamura, Akio Higo, Takayuki Kiba, Cedric Thomas, Yunpeng Wang, Hassanet Sodabanlu, Ichiro Yamashita, Masakazu Sugiyama, Yoshiaki Nakano, Akihiro Murayama, and Seiji Samukawa, Narrow Line-Width Photoluminescence Spectrum of GaAs Nanodisks Fabricated Using Bio-Template Ultimate Top-Down Processes, 14th IEEE International Conference on Nanotechnology, TuBT6.3 (Toronto, 2014/08/19).
  411. (招待講演) Seiji Samukawa, Neutral Beam Technology ? Defect-free Nanofabrication of Novel Nanomaterials and Nanodevices, 14th IEEE International Conference on Nanotechnology, ThPP1 (Toronto, 2014/08/21).
  412. Kumi Y. Inoue, Masahki Matsudaira, Kosuke Ino, Masanori Nakano, Kosuke Takara, Atsushi Suda, Ryota Kunikata, Shinya Yoshida, Takeshi Hayasaka, Yoshiyuki Kikuchi, Xijiang Chang, Tomohiro Kubota, Hitoshi Shiku, Shuji Tanaka, Seiji Samukawa, and Tomokazu Matsue, Improvement of LSI-based amperometric sensor array for wide application of bioimaging and biosensing, 65th Annual Meeting of the International Society of Electrochemistry, s03-003 (Lausanne, 2014/09/02).
  413. Takayuki Kiba, Yosuke Tamura, Cedric Thomas, Ichiro Yamashita, Akihiro Murayama, OPTICAL CHARACTERISTICS OF GAAS QUANTUM NANODISKS ARRAYS BY USING NEUTRAL BEAM TOP - DOWN PROCESS, 2014 International Conference on Optical MEMS and Nanophotonics (OMN), TNa2.2 (Glasgow, 2014/08/19).
  414. Y. Tamura, C. Thomas, T. Kiba, Y. Wang, H. Sodabanlu, J. Takayama, M. Sugiyama, Y. Nakano I. Yamashita, A. Murayama, S. Samukawa, Quantum GaAs Nanodisk Light Emitting Diode Fabricated by Ultimate Top-down Neutral Beam Etching, 24th International Semiconductor Laser Conference, P-15 (Palma, 2014/09/09).
  415. Takayuki Kiba, T. Tanaka, Yosuke Tamura, Cedric Thomas, Toshiyuki Kaizu, Yoshitaka Okada, Seiji Samukawa, Akihiro Murayama, Suppression of exciton-spin relaxation induced by artificial lateral quantum confinement in GaAs, 18th International Conference on Molecular Beam Epitaxy, FrC1-4 (Flagstaff, 2014/09/12).
  416. T. Tanaka, T. Kiba, Y. Tamura, A. Higo, S. Samukawa, A. Murayama, Electron G-factor and Spin-relaxation in GaAs Quantum Disks Fabricated by Fully Top-down Lithography, 18th International Conference on Molecular Beam Epitaxy, P26 (Flagstaff, 2014/09/08).
  417. Takeru Okada1, Koki Igrarashi1, Patrick Han2, Taro Hitosugi2, C-H. Huang3, C-Y. Su4, and Seiji Samukawa1,2 , Fabrication of Two-Dimensional 10 nm Graphene dot array and Optical Characterization, 2014 International Conference on Solid State Devices and Materials, H-2-4 (Tsukuba, 2014/09/09).
  418. Xijian Chang, Yoshiyuki Kikuchi, Tomohiro Kubota, Kumi Y. Inoue, Tomokazu Matsue and Seiji Samukawa, Conductive Diamond-like Carbon Film Deposition by Low Temperature Neutral Beam Enhanced Chemical Vapor Deposition for Bio-LSIs, 2014 International Conference on Solid State Devices and Materials, PS-11-7 (Tsukuba, 2014/09/10).
  419. Chentir Mohamed-Tahar, Takuya Fujii, Taiga Isoda, Kohei Itoh, Hirotaka Endo, Y. Hoshi, Noritaka Usami, and Seiji Samukawa, Fabrication And Optical Characterization Of α-Germanium Nano Disk Structure Using Bio-Template And Neutral Beam Etching for Solar Cell Application, 2014 International Conference on Solid State Devices and Materials, G-7-4 (Tsukuba, 2014/09/11).
  420. Takeru Okada and Seiji Samukawa, Modification of Graphene by Neutral Beam Irradiation and Edge Structure Analysis, American Vacuum Society 61st International Symposium & Exhibition, 2D+AS+EM+NS+SS-MoA9 (Baltimore, 2014/11/10).
  421. Tomohiro Kubota, Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono, Yasuroh Iriye, and Seiji Samukawa, Precise theoretical calculation of neutral beam generation efficiency by collision of chlorine against graphite surface, American Vacuum Society 61st International Symposium & Exhibition, PS2+TF-ThM13 (Baltimore, 2014/11/13).
  422. Cedric Thomas, Kenichi Yoshikawa, Chang-Yong Lee, Yosuke Tamura, Akio Higo, Takayuki Kiba, Akihiro Murayama, Ichiro Yamashita, Seiji Samukawa, Top-down InGaAs/GaAs nanopillars fabrication using a bio-nano process and a neutral beam etching process, American Vacuum Society 61st International Symposium & Exhibition, PS-ThA8 (Baltimore, 2014/11/13).
  423. (招待講演) 寒川誠二、岡田健, 超低損傷中性粒子ビームエッチングによる無損傷グラフェンナノ構造の形成とデバイスへの展開, Plasma Conference 2014, S1-3 (Niigata, 2014/11/18).
  424. (招待講演) Seiji Samukawa, Control of Quantum Effect in 3D Nano Structure to Develop New Functions Using Bio-template and Ultimate Top-down Etching, 1st International Workshop on Quantum Nanostructure; Physics and Solar Cell Applications, (Miyazaki, 2015/02/20).
  425. (招待講演) Cedric Thomas, Akio Higo, Seiji Samukawa, Top-down approach for III-V nanostructure fabrication: a case study from GaAs based quantum dots, 1st International workshop on quantum nanostructure; physics and solar cell applications. Special meeting of Samukawa project in CREST, (Miyazaki, 2015/02/20).
  426. (招待講演) Ming-Yi Lee, Yiming Li, Seiji Samukawa, In-Plane 3D Miniband Calculation of Silicon Nanostructure Array for Solar Cell Applications, 1st International workshop on quantum nanostructure; physics and solar cell applications. Special meeting of Samukawa project in CREST, (Miyazaki, 2015/02/20).
  427. (招待講演) Toshihisa Nozawa and Seiji Samukawa, Low-temperature and damage-free transition metal and magnetic material etching using a new metallic complex reaction, SPIE Advanced Lithography 2015 , 9428-21 (San Jose, 2015/02/25).
  428. (招待講演) Seiji Samukawa,Mohammad Maksudur Rahman, High Efficiency Nano-energy Devices Using Bio-template Ultimate Top-down Process, 7th International symposium on Advanced Plasma Science and its Application for Nitrides and Nanomaterials, C3-I-03 (Nagoya, 2015/03/29).
  429. (招待講演) Tomohiro Kubota and Seiji Samukawa, A new metallic complex reaction etching for MRAM materials by a low-temperature neutral beam process, Plasma Etch and Strip in Microtechnology 2015, (Leuven, 2015/04/27).
  430. (招待講演) Seiji Samukawa, Neutral Beam Technology =Defect-free Nanofabrication for Novel Nano-materials and Nano-devices=, The 2015 International Symposium on VLSI Technology, Systems and Applications, TSS12 (Hsinchu, 2015/04/27).
  431. (招待講演) Ming-Yi Lee, Yi-Chia Tsai, Yiming Li, Seiji Samukawa, Modeling and Simulation of Well-Ordered Ge/Si-Nanodisk Array for Quantum Dot Solar Cells, IEEE The 4th International Symposium on Next-Generation Electronics, (Taipei, 2015/05/05).
  432. D. Ohori, A. Suzuki, C. Thomas, A. Higo, S. Samukawa, A. Fukuyama, T. Ikari, Light emission from GaAs/AlGaAs nanopillars fabricated by neutral beam etching and bio-template, The 2015 E-MRS Spring meeting, I-9-6 (Lille, 2015/05/13).
  433. A. Fukuyama, D. Ohori, A. Suzuki, C. Thomas, A. Higo, S. Samukawa, T. Ikari, Photoluminescence study of quantum levels in GaAs/AlGaAs quantum nanodisks fabricated by bio-template and neutral beam etching, The 2015 E-MRS Spring meeting, I-10-4 (Lille, 2015/05/13).
  434. (招待講演) Seiji Samukawa, Neutral Beam Etching for Control of Atomic Layer Defect Generation and Chemical Reaction, AVS Atomic layer Etching Workshop , 568 (Portland, 2015/07/02).
  435. Y.C. Lai, A. Higo, C.Y. Lee, C. Thomas, T. Tanikawa, K. Shojiki, S. Kuboya, R. Katayama, T. Kiba, P. Yu, I. Yamashita, A. Murayama, S. Samukawa, Fabrication of InGaN/GaN Nanodisk Structure by using Bio-template and Neutral Beam Etching Process, 15th International conference on nanotechnology, 356 (Roma, 2015/07/30).
  436. Takeru Okada and Seiji Samukawa, Selective nitrogen doping of graphene by energy-controlled neutral beam, 15th INTERNATIONAL CONFERENCE ON NANOTECHNOLOGY, 303 (Rome, 2015/07/30).
  437. A. Higo, C.Y. Lee, J. Ohta, C. Thomas, Y. Tamura, H. Fujioka, and S. Samukawa, Low Damage Neutral Beam AlGaN/GaN etching for Recess Gate Fabrication, 11th Topical Workshop on Heterostructure Microelectronics, 9-2 (Takayama, 2015/08/26).
  438. M. Y. Lee, Y. C. Tsai, Y. Li, S. Samukawa, Electronic Structure Dependence on the Density, Size and Shape of Ge/Si Quantum Dots Array, The 18th IEEE International Workshop on Computational Electronics, P44 (West Lafayette, 2015/09/02).
  439. Yi-Chia Tsai, Ming-Yi Lee, Yiming Li, Seiji Samukawa, Numerical Simulation of Highly Periodical Ge/Si Quantum Dot Array for Intermediate-Band Solar Cell Applications, IEEE International Conference on Simulation of Semiconductor Processes and Devices, (Washington, DC, 2015/09/09).
  440. (招待講演) A. Higo, C. Thomas, T. Kiba, J. Takyama, C.Y. Lee, Y. Tamura, I. Yamshita, M. Sugiyama, Y. Nakano, A. Murayama, S. Samukawa, Fabrication of InGaAs quantum nanodisk light-emitting diodes by fusion top-down process of bio-template and neutral beam etching, The 2015 E-MRS Fall meeting, V71 (Warsaw, 2015/09/16).
  441. Y.C. Lai, A. Higo, C. Thomas, T. Kiba, J. Takayama, M. Sugiyama, Y. Nakano, P. Yu, A. Murayama, S. Samukawa, Room temperature operation of GaAs/AlGaAs Quantum Nanodisks Light Emitting , 2015 International Conference on Solid State Devices and Materials, A-1-4 (Sapporo, 2015/09/28).
  442. Takeo Ohno, Daiki Nakayama and Seiji Samukawa, Formation of Ge Oxide Film by Neutral Beam Post Oxidation using Al Metal Film, 2015 International Conference on Solid State Devices and Materials, O-2-5 (Sapporo, 2015/09/28).
  443. Tomohiro Kubota, Yoshiyuki Kikuchi, and Seiji Samukawa, Transition Metal Complex Reaction Etching for MRAM Applications using Neutral Beam and Its Mechanism Investigated by First-Principles Calculation, 2015 International Conference on Solid State Devices and Materials, PS-4-2 (Sapporo, 2015/09/29).
  444. Yi-Chia Tsai, Ming-Yi Lee, Yimi ng Li, Seiji Samukawa, Miniband Formulation in Ge/Si Quantum Dot Array, 2015 International Conference on Solid State Devices and Materials, G-3-5 (Sapporo, 2015/09/29).
  445. Li-Wei Yang, Yi-Chia Tsai, Yiming Li, Oleksandr Voskoboynikov, Aiko Higo, Akihiro Murayama, Seiji Samukawa, Electron g-factor Engineering in GaAs Quantum Nano-Disks Fabricated by Defect-Free Neutral Beam Etching Process, 2015 International Conference on Solid State Devices and Materials, PS-12-16 (Sapporo, 2015/09/29).
  446. Cedric Thomas, Akio Higo, Takeru Okada, Seiji Samukawa, Etching of GaAs materials by chlorine neutral beam for quantum nanodisks fabrication, 9th International Conference on Reactive Plasmas / 68th Gaseous Electronics Conference / 33rd Symposium on Plasma Processing, TF2.00006 (Honolulu, 2015/10/16).
  447. Takeru Okada and Seiji Samukawa, Effects of Flux and Energy of Neutral Beam on Hydrogenation of Graphene , 9th International Conference on Reactive Plasmas / 68th Gaseous Electronics Conference / 33rd Symposium on Plasma Processing, LW1.00168 (Honolulu, 2015/10/14).
  448. Jianping Zhao, Merritt Funk, Lee Chen, Koji Koyama, Toshihisa Nozawa, Seiji Samukawa , Plasma generated VUV in a microwave surface-wave plasma, 9th International Conference on Reactive Plasmas / 68th Gaseous Electronics Conference / 33rd Symposium on Plasma Processing, UF2.00005 (Honolulu, 2015/10/16).
  449. (招待講演) Seiji Samukawa and Tomohiro Kubota, Neutral Beam Technology ? Defect-free Nanofabrication for Novel Nano-materials and Nano-devices, 228th ECS Meeting, 1008 (Phoenix, 2015/10/15).
  450. C. Thomas, A. Higo, T. Kiba, Y. Tamura, N. Okamoto, I. Yamashita, A. Murayama, S. Samukawa, Low-damage etching process for the fabrication of GaAs based light emitting devices, AVS 62nd International symposium and exhibition, NS+EN+SS-TuA-2 (San Jose, 2015/10/20).
  451. Tomohiro Kubota, Yoshiyuki Kikuchi, and Seiji Samukawa, First-Principles Theoretical Investigation on Mechanism of New Transition Metal Etching Process using Oxygen and argon Neutral Beams and Ethanol Gas, AVS 62nd International symposium and exhibition, PS1-TuA-10 (San Jose, 2015/10/20).
  452. Y.C. Lai, A. Higo, C. Thomas, C.Y. Lee, T. Tanikawa, K. Shojiki, S. Kuboya, R. Katayama, T. Kiba, I. Yamashita, A. Murayama, P. Yu, S. Samukawa, InGaN quantum nanodisks fabrication by bio-template and neutral beam etching, AVS 62nd International symposium and exhibition, PS+SS+TF-FrM-10 (San Jose, 2015/10/23).
  453. Ming-Yi Lee, Yi-Chia Tsai, Yiming Li, Seiji Samukawa, Miniband Dependence on the Density of Ge/Si Quantum Dots for Solar Cell Application, International Electron Devices and Materials Symposium, (Tainan, 2015/11/19).
  454. Yao-Jen Lee, Ta-Chun Cho, Po-Jung Sung, Kuo-Hsing Kao, Fu-Kuo Hsueh, Fu-Ju Hou, Po-Cheng Chen,Hsiu-Chih Chen, Chien-Ting Wu, Shu-Han Hsu, Yi-Ju Chen, Yao-Ming Huang, Yun-Fang Hou, Wen-Hsien Huang,Chih-Chao Yang, Bo-Yuan Chen, Kun-Lin Lin, Min-Cheng Chen, Chang-Hong Shen, Guo-Wei Huang, Kun-Ping Huang, Michael I. Current , Yiming Li, Seiji Samukawa, Wen-Fa Wu, Jia-Min Shieh, Tien-Sheng Chao, Wen-Kuan Yeh, High Performance Poly Si Junctionless Transistors with Sub-5nm Conformally Doped Layers by Molecular Monolayer Doping and Microwave Incorporating CO2 Laser Annealing for 3D Stacked ICs Applications, IEEE International Electron Devices Meeting , 6.2 (Washington, DC, 2015/12/07).
  455. Yao-Jen Lee, Fu-Ju Hou, Shang-Shiun Chuang, Fu-Kuo Hsueh, Kuo-Hsing Kao, Po-Jung Sung, Wei-You Yuan, Jay-Yi Yao, Yu-Chi Lu, Kun-Lin Lin, Chien-Ting Wu, Hisu-Chih Chen, Bo-Yuan Chen, Guo-Wei Huang, Henry J. H. Chen, Jiun-Yun Li, Yiming Li, Seiji Samukawa, Tien-Sheng Chao, Tseung-Yuen Tseng, Wen-Fa Wu, Tuo-Hung Hou, Wen-Kuan Yeh, Diamond-shaped Ge and Ge0.9Si0.1 Gate-All-Around Nanowire FETs with Four {111} Facets by Dry Etch Technology, IEEE International Electron Devices Meeting , 15.1 (Washington, DC, 2015/12/08).
  456. (招待講演) Seiji Samukawa, High Efficiency Nano Energy Devices Using Bio-template Ultimate Top-down Process, The AIMR International Symposium 2016, Tue. - 3 (Sendai, 2016/02/23).
  457. A. Higo and S. Samukawa, GaAs Quantum Nanodisks LEDs by Top-down Dry Process with Bio-Nano-Template and Neutral Beam Etching, The AIMR International Symposium 2016, P-71 (Sendai, 2016/02/22).
  458. T. Ohno and S. Samukawa, Ta2O5-based Resistive RAM Formed by Neutral Beam Oxidation, The AIMR International Symposium 2016, P-72 (Sendai, 2016/02/22).
  459. D. Ohori, K. Kondo, K. Sakai, C. Thomas, A. Higo, S. Samukawa, T. Ikari, and A. Fukuyama, Effect of embedding process on photoluminescence spectra of GaAs quantum nanodisks fabricated by neutral beam nanoprocess, European Materials Research Society 2016 Spring Meeting, M.III.3 (Lille, 2016/05/03).
  460. A. Higo, C. Thomas, T. Kiba, J. Takayama, N. Okamoto, I. Yamashita, A. Murayama, S. Samukawa, Room Temperature Operation of GaAs Quantum Nanodisks LEDs by different AlGaAs matrix barriers, European Materials Research Society 2016 Spring Meeting, M.III.3 (Lille, 2016/05/03).
  461. (招待講演) Seiji Samukawa, High Efficiency Nano-Energy Devices by Combination of Bio-technology and Nano-technology, European Materials Research Society 2016 Spring Meeting, HH.1-1 (Lille, 2016/05/04).
  462. (招待講演) Seiji Samukawa, Atomic layer Etching, Deposition and Surface Modification Processes for Future Nano-Materials, IEEE International Nanoelectronics Conference, Plenary-2 (Chengdu, 2016/05/09).
  463. (招待講演) Seiji Samukawa, Neutral beam technology: Defect-free Nanofabrication for Novel Nano-materilas and nano-devices, IEEE Silicon Nanoelectronics Workshop 2016, 7.5 (Honolulu, 2016/06/13).
  464. T. Okada1, K. Y. Inoue2, T. Matsue2,3, G. Kalita4, M. Tanemura4, M. Meyyappan5, and S. Samukawa1,3, nvestigation of carbon-nitrogen bonding state in graphene on catalytic activity, 17th International Conference on the science and technology nanotubes and low-dimensional materials, (Vienna, 2016/08/11).
  465. Ming-Yi Lee, Yi-Chia Tsai, Yiming Li, Seiji Samukawa, Numerical Simulation of Physical and Electrical Characteristics of Ge/Si Quantum Dots Based Intermediate Band Solar Cell, IEEE 16th International Conference on Nanotechnology, TuPM17.4 (Sendai, 2016/08/23).
  466. Yen-Ku Lin, Shuichi Noda, Ruey-Bor Lee, Chia-Ching Huang, Quang Ho Luc, Seiji Samukawa, Edward Yi Chang, Enhancement-mode AlGaN/GaN MIS-HEMTs with Low Threshold Voltage Hysteresis Using Damage-free Neutral Beam Etched Gate Recess, IEEE 16th International Conference on Nanotechnology, WePM16.2 (Sendai, 2016/08/23).
  467. Yi-Chia Tsai, Ming-Yi Lee, Yiming Li, Seiji Samukawa, Miniband Formulation of Bilayer Type II Ge/Si Quantum Dot Superlattices, IEEE 16th International Conference on Nanotechnology, ThAM15.2 (Sendai, 2016/08/23).
  468. Yao-Jen Lee, Seiji Samukawa, Yiming Li, W.-K Yeh, Wen-Fa Wu, P.-J Sung, T.-C. Cho, P.-C. Chen, F.-J. Hou, C.-H Lai, T.-S. Chao, High Performance Silicon N-channel Gate-All-Around Junctionless Field Effect Transistors by Strain Technology, IEEE 16th International Conference on Nanotechnology, TuPo1.32 (Sendai, 2016/08/23).
  469. (招待講演) Takashi Morie, Haichao Liang, Takashi Tohara, Hirofumi Tanaka, makoto igarashi, Seiji Samukawa, Kazuhiko Endo, and Yasuo Takahashi, Spike-based Time-domain Weighted-sum Calculation Using Nanodevices for Low Power Operation, IEEE 16th International Conference on Nanotechnology, TuPM22.1 (Sendai, 2016/08/23).
  470. En-Tzu Lee, Shuichi Noda, Wataru Mizubayashi, Kazuhiko Endo, and Seiji Samukawa, Defect-free Germanium Etching for 3D Fin MOSFET using Neutral Beam Etching, IEEE 16th International Conference on Nanotechnology, WePM16.7 (Sendai, 2016/08/23).
  471. Takayuki Kiba, Toru Tanaka, Akio Higo, Cedric Thomas, yosuke tamura, Seiji Samukawa, and Akihiro Murayama, Pump-probe study of temperature-dependent spin relaxation in GaAs quantum disks fabricated by fully top-down lithography using Bio-templates, IEEE 16th International Conference on Nanotechnology, WePo2.32 (Sendai, 2016/08/23).
  472. Daisuke Ohori, Kiyorfumi Kondo, Kentaro Sakai, Akio Higo, Cedric Thomas, Seiji Samukawa, TETSUO IKARI, and Atsuhiko Fukuyama, Photoluminescence Emission from As-etched Quantum Nanodisks Fabricated by Bio-template and Neutral Beam Etching Process, IEEE 16th International Conference on Nanotechnology, TuPM15.2 (Sendai, 2016/08/23).
  473. Tomohiro Kubota, yoshiyuki kikuchi, and Seiji Samukawa, Transition Metal Complex Reaction Etching with Neutral Beam and Its Mechanism Investigated by First-Principles Calculation, IEEE 16th International Conference on Nanotechnology, ThPM14.1 (Sendai, 2016/08/23).
  474. Yafeng Chen, Shula Chen, Takayuki Kiba, ChangYong Lee, Cedric Thomas, Yi-Chun Lai, Akio Higo, Seiji Samukawa, and Akihiro Murayama, Transient photoluminescence in InGaN nano-disks fabricated by nano-scale neutral-beam etching utilizing bio-nano templates, IEEE 16th International Conference on Nanotechnology, WePo2.29 (Sendai, 2016/08/23).
  475. Takeo Ohno, Daiki Nakayama, and Seiji Samukawa, Neutral Beam Oxidation for Oxide-based Nanodevice, IEEE 16th International Conference on Nanotechnology, TuPo1.31 (Sendai, 2016/08/23).
  476. Akio Higo, Cedric Thomas, Takayuki Kiba, Junichi Takayama, ichiro yamashita, akihiro murayama, and Seiji Samukawa, The Effect of Asymmetric Barriers of GaAs Quantum Nanodisks Light Emitting Diode, IEEE 16th International Conference on Nanotechnology, TuAM15.3 (Sendai, 2016/08/23).
  477. Takeru Okada, M. Meyyappan, and Seiji Samukawa, Effect of Flux and Energy of Hydrogen Neutral Beam on Hydrogenation Process of Graphene, IEEE 16th International Conference on Nanotechnology, TuPM14.1 (Sendai, 2016/08/23).
  478. Kikuchi Akiou, Yao Akifumi, Iizuka, Sachihiko, Mori, Isamu, Yamashita, Ichiro, Ono, Takahito, Samukawa, Seiji , Thermal Conductivity of 10nm-Diameter Silicon Nanowires Array Fabricated by Bio-Template and Neutral Beam Etching, IEEE 16th International Conference on Nanotechnology, WeAM16.2 (Sendai, 2016/08/24).
  479. (招待講演) A. Higo, T. Kiba, C. Thomas, J. Takayama, M. Sugiyama, Y. Nakano, A. Murayama, and S. Samukawa, GaAs Quantum Nanodisks Light Emitting Diode Fabricated by Nanoscale Dry Process and MOVPE Regrowth, JSPS workshop on Japan-Sweden frontiers in spin and photon functionalities of semiconductor nanostructures, (Sapporo, 2016/08/30).
  480. (招待講演) Seiji Samukawa, Neutral Beam Technology?Defect-free Nanofabrication for Novel Nano-materials and Nano-devices, 21st International Conference on Ion Implantation Technology, Keynote-4 (Tainan, 2016/09/30).
  481. (招待講演) S. Samukawa, Shuichi Noda, Akio Higo, Manabu Yasuda, and Kazumi Wada, Defect-free Fabrication of Nano-disk and Nano-wire by Fusion of Bio-template and Neutral Beam Etching, SPIE Photonic Asia 2016, 10027-19 (Beijing, 2016/10/13).
  482. (招待講演) Seiji Samukawa, Neutral Beam Technology -Defect-free Nanofabrication for Novel Nanomaterials and Nanodevices-, 13th IEEE International Conference on Solid-State and Integrated Circuit Technology, S20-1 (Hangzhou, 2016/10/27).
  483. En-Tzu Lee, Shuichi Noda, Wataru Mizubayashi, Kazuhiko Endo and Seiji Samukawa, , Neutral Beam Etching of Germanium Microstructure for Ge Fin-FET Devices, AVS 63rd International Symposium and Exhibition, PS-WeA-9 (Nashville, 2016/11/09).
  484. Y.-J. Lee, T.-C. Hong, F.-K. Hsueh, P.-J. Sung, C.-Y. Chen, S.-S. Chuang, T.-C. Cho, S. Noda, Y.-C. Tsou, K.-H. Kao, C.-T. Wu, T.-Y. Yu, Y.-L. Jian, C.-J. Su, Y.-M. Huang, W.-H. Huang, B.-Y. Chen, M.-C. Chen, K.-P. Huang, J.-Y. Li, M.-J. Chen, Y. Li, S. Samukawa, W.-F. Wu, G.-W. Huang, J.-M. Shieh, T.-Y. Tseng, T.-S. Chao, Y.-H. Wang, W.-K. Yeh, High Performance Complementary Ge Peaking FinFETs by Room Temperature Neutral Beam Oxidation for Sub-7 nm Technology Node Applications., IEEE International Electron Devices Meeting , 33.5 (San Francisco, 2016/12/07).
  485. A. Higo and S. Samukawa, Photoluminescence of GaAs Nanodisks in GaAs/AlGaAs Nanopillar by Combination of Bio-Nano-Template and Top-down Process, The AIMR International Symposium 2017, P-56 (Sendai, 2017/02/13).
  486. T. Ohno and S. Samukawa, Demonstration of Image Memory using Resistive RAMs, The AIMR International Symposium 2017, P-55 (Sendai, 2017/02/13).
  487. C. Miura, A. Kumatani, T. Okada, S. Samukawa, H. Shiku, Y. Yasufumi, T. Matsue , Visualization of Electrochemical Activity at Graphene/Graphite Edges, The AIMR International Symposium 2017, (Sendai, 2017/02/13).
  488. A. Kumatani, C. Miura, T. Okada, S. Samukawa, H. Shiku, Y. Takahashi, and T. Matsue , Scanning Electrochemical Cell Microscopy for Visualization of Local Electrochemical Activities on Graphene/Graphite , The 52nd Fullerenes-Nanotubes-Graphene General Symposium, 2-5 (Tokyo, 2017/03/02).
  489. (招待講演) Seiji Samukawa, Neutral Beam Technology for Future Nano-devices, China Semiconductor Technology International Conference 2017, VIII-Ⅲ-2 (Shanghai, 2017/03/13).
  490. (招待講演) Seiji Samukawa, Neutral Beam Technology for Future Nano-materials and Nano-devices, Collaborative Conference on Materials Research (CCMR) 2017, 114 (Jeju, 2017/06/26).
  491. (招待講演) Seiji Samukawa, Neutral Beam Technology for Nano-Materials and Nano-Devices, 21st International Symposium on Chemical-Mechanical Planarization, V-4 (Lake Placid, 2017/08/15).
  492. (招待講演) Seiji Samukawa, Neutral Beam Technology for Future Nano-materials and Nano-devices, 1st Asia-Pacific Conference on Plasma Physics, A5-I12 (Chengdu, 2017/09/20).
  493. Takeo Ohno, Hisashi Shima, Hiroyuki Akinaga, and Seiji Samukawa, Electronic states in the neutral-beam-formed Ta2O5 film measured by thermally stimulated current method, 49th International Conference on Solid State Devices and Materials, PS-8-11 (Sendai, 2017/09/21).
  494. (招待講演) Seiji Samukawa, High Efficiency Nano Energy Devices Using Bio-template Ultimate Top-down Process, The 3rd International Conference on Nanoenergy and Nanosystems, O2-01 (Beijing, 2017/10/21).
  495. (招待講演) Wataru Mizubayashi, Shuichi Noda, Yuki Ishikawa, Takashi Nishi, Akiou Kikuchi, Hiroyuki Ota, Ping-Hsun Su, Yiming Li, Seiji Samukawa, and Kazuhiko Endo, Ge Atomic Layer Etching for High Performance FinFET, AVS 64th International Symposium, PS+NS+SS+TF-ThM10 (Tampa, 2017/11/02).
  496. Shuichi Noda, Wataru Mizubayashi, Kazuhiko Endo, and Seiji Samukawa, Defectless Nanostructure Patterning of Germanium FinFET Using Neutral Beam Etching for Ge FinFET Devices, AVS 64th International Symposium, PS+NS+SS+TF-FrM09 (Tampa, 2017/11/03).
  497. Natalia Stepina, Aigul Zinovieva, Anatolii Dvurechenskii, Shuichi Noda, Md. Zaman Molla, Daisuke Ohori and Seiji Samukawa, Spin Relaxation in Si Nanoclusters Embedded in Free-Standing SiGe Nanocolumns, IFS Collaborative Research Forum (AFI-2017), CRF-29 (Sendai, 2017/11/02).
  498. (招待講演) Seiji Samukawa, Neutral Beam technology for Future Nano-materials and Nano-devices, 18th International Union of Materials Research Societies International Conference in Asia, A1-04 (Taipei, 2017/11/06).
  499. Yoshiyuki Kikuchi, Seiji Samukawa, Gallium nitride (GaN) and Indium Gallium nitride (InGaN) formation at room temperature using neutral beam enhanced atomic layer deposition, 2017 MRS Fall Meeting, (Boston, 2017/11/26).
  500. (招待講演) Seiji Samukawa, Atomic Layer Etching and Deposition Processes for Future Nano-devices, 82nd IUVSTA Workshop, I-20 (Okinawa, 2017/12/07).
  501. Firman Mangasa Simanjuntak, Takeo Ohno and Seiji Samukawa, Conducting bridge RAM showing nonvolatile switching and oscillation characteristic, Kick-off Symposium for World Leading Research Centers, (Sendai, 2018/02/21).
  502. M. Shimura, A. Kumatani, C. Miura, Y. Takahashi, T. Okada, H. Ida1, H. Shiku, S. Samukawa, and T. Matsue, Nanoscale Electrochemical Imaging of Redox Activities on Metallic and Semiconducting Single-Walled Carbon Nanotubes, 22nd Topical Meeting of the International Society of Electrochemistry, (Tokyo, 2018/04/16).
  503. A. Kumatani, C. Miura, Y. Takahashi, T. Okada, H. Ida, H. Shiku, S. Samukawa, and T. Matsue, Spatially Resolved Electrochemical Analysis for Redox Activities of Graphene/Graphite Surface Structures, 22nd Topical Meeting of the International Society of Electrochemistry, (Tokyo, 2018/04/16).
  504. (招待講演) Seiji Samukawa, Neutral Beam Technology for Damage-free Etching Process, Digest of 2018 International Conference on Compound Semiconductor Manufacturing Technology, (Austin, 2018/05/07).
  505. T. Okada, G. Kalita, M. Tanemura, I. Yamashita, M. Meyyappan, and S.Samukawa, Investigation of doped nitrogen in graphene on flow-induced voltage generation from graphene-water interface, European Material Research Society Spring Meeting and Exhibits Symposium: Nanomaterials, K: Defect-induced effects in nanomaterials, (Strasburg, 2018/06/19).
  506. (招待講演) Seiji Samukawa, Low-Temperature atomic layer defect-free etching, modification and deposition process, Collaborative Conference on Materials Research (CCMR) 2018, (Incheon, 2018/06/25).
  507. T. Okada, G. Kalita, M. Tanemura, I. Yamashita, M. Meyyappan, and S. Samukawa, Power generation from moving water droplet on nitrogen doped graphene , 19th International Conference on the Science and Application of Nanotubes and Low-dimensional Materials, (Beijing, 2018/07/15).
  508. (招待講演) Seiji Samukawa, Atomic Layer Defect-free Top-down Processes for Future Nano-devices, The 7th International Conference on Microelectronics and Plasma Technology(ICMAP), (Incheon, 2018/07/27).
  509. Firman Mangasa Simanjuntak, T. Ohno, Seiji Samukawa, Sputtering Power Dependent on Switching Characteristics of ZnO-based Transparent Resistive Memory Devices, AVS 65th International Symposium & Exhibition Nanometer-scale Science and Technology Division, TF+EM+MI-WeM10 (Long beach, USA 2018/10/24).
  510. Daisuke Ohori, Seiji Samukawa, Controlled Water-repellent Behavior by Modulating the Density of Nanoscale Si Nanopillar Structure Fabricated with Bio-template and Neutral Beam Etching Technique, AVS 65th International Symposium & Exhibition Nanometer-scale Science and Technology Division, NS-ThP9 (Long beach, USA 2018/10/25).
  511. (招待講演) Seiji Samukawa, Atomic Layer Defect-free Top-down Process for Future Nano-devices, 14th IEEE International Conference on Solid-State and IntegratedCircuit Technology, S05-3 (Qingdao/China, 2018/11/01).
  512. (招待講演) T. Okada, G. Kalita, M. Tanemura, I. Yamashita, M. Meyyappan, and Seiji Samukawa, Electricity generation by water flow on nitrogen-doped graphene, 15th International Conference of Flow Dynamics, (Sendai, 2018/11/07).
  513. (招待講演) Seiji Samukawa, Atomic Layer Defect-free Etching and Deposition Processes for future sub-10-nm devices, 71st Annual Gaseous Electronics Conference, TF2.00003 (Portland/USA, 2018/11/09).
  514. J. Zhu, T. Takahashi, K. Endo, D. Ohori, S. Samukawa, and X. L. Wang, Fabrication of GaN micro-LEDs with an ultra-low-damage etching process, International Workshop on Nitride Semiconductors(IWN2018), MoP-OD-19 (Kanazawa, 2018/11/12).
  515. K. X. Zhang, H. Yamada, N. Kumagai, T. Yamada, G. W. Cong, K. Endo, M. Shimizu, D. Ohori, S. Samukawa, and X. L. Wang, Nanocolumns of InGaN/GaN MQWs Fabricated by Neutral Beam Etching for Directional Micro-LED, International Workshop on Nitride Semiconductors(IWN2018), TuP-OD-24 (Kanazawa, 2018/11/13).
  516. (招待講演) Seiji Samukawa, Atomic Layer Defect-free Top-down Process for Future Nano-devices, 2nd Asia-Pacific Conference on Plasma Physics, International Workshop on Plasma and Bio-nano Devices, 2 (Kanazawa, 2018/11/14).
  517. Kenji Shiojima,Tetsuya Suemitsu, Takuya Ozaki and Seiji Samukawa, Mappingofneutral-beametchinginduceddamagesonGaNsurfacesusingscanning internal photoemission microscopy, International Workshop on Nitride Semiconductors (IWN2018), ThP-ED-8(Kanazawa, 2018/11/15).
  518. T. Okada, G. Kalita, M. Tanemura, I. Yamashita, M. Meyyappan, and S. Samukawa, Electricity generation from interface between flowing water and graphene, Materials Research Society 2018 Fall Meeting & Exhibit, (Boston, 2018/11/25).
  519. P. J. Sung, C. Y. Chang, L. Y. Chen, K. H. Kao, C. J. Su, T. H. Liao, C. C. Fang, C. J. Wang, T. C. Hong, C. Y. Jao, H. S. Hsu, S. X. Luo, Y. S. Wang, H. F. Huang, J. H. Li, Y. C. Huang, F. K. Hsueh, C. T. Wu, Y. M. Huang, F. J. Fou, G. L. Luo, Y. C. Huang, Y. L. Shen, W. C. Y. Ma, K. P. Huang, K. L. Lin, S. Samukawa, Y. Li, G. W. Huang, Y. J. Lee, J. Y. Li, W. F. Wu, J. M. Shieh, T. S. Chao, W. K. Yeh, Y. H. Wang, Voltage Transfer Characteristics Matching by Different Nanosheet Layer Numbers of Vertically Stacked Junctionless CMOS Inverter for SoP/3D-ICs applications, IEEE 2018 International Electron Device Meeting, 21.4 (San Francisco/USA, 2018/12/04).
  520. (招待講演) Takeru Okada*, Golap Kalita, Masaki Tanemura, Ichiro Yamashita, Fumio Ohuchi, M Meyyappan, and Seiji Samukawa, Energy harvesting application of Nitrogen-doped graphene, 12th International WorkShop on New Group IV Semiconductor Nanoelectronics,(Sendai,2018/12/7)
  521. (招待講演)Seiji Samukawa, Atomic layer defect-free etching and deposition processes for future sub-10-nm devices., 7th International Conference on Advanced Plasma Technologies (ICAPT-7)( Hue,Vietnam,2019/2/27)
  522. (招待講演)Seiji Samukawa, “Creating Green Nanostructure and Nanomaterials for Advanced Nano-energy devices”, IEEE Distinguished Lecturer in IEEE EDS Tainan Chapter (Tainan, 2019/05/10)
  523. (招待講演)Seiji Samukawa, “High Efficiency Nano-energy Devices Fabricated by Atomic Layer Processes”, 4th International Conference on nano-energy and Nano-system (Beijing, 2019/06/16).
  524. (招待講演)Seiji Samukawa, “Creating Green Nanostructures and Nanomaterials for Advanced Energy Nanodevices”,46th European Physical Society Conference on Plasma Physics, I3.302 (Milan,2019/7/10).
  525. (招待講演)Seiji Samukawa, “Atomic Layer Defect-free Etching Processes for future sub-10-nm devices”, Satellite Workshop of XXXIV ICPIG and ICRP-10 (New trends of plasma processes for thin films and related materials), (Sapporo, 2019/7/20).
  526. T. Fujii, Daisuke Ohori, S. Noda, Y. Tanimoto, D. Sato, H. Kurihara, W. Mizubayashi, K. Endo, Y. Li,Y.-J. Lee, T. Ozaki,S. Samukawa, “Atomic Layer Etching for Germanium using Halogen Neutral Beam=Comparison between Br and Cl Chemistry=”, AVS 19th International Conference(ALD/ALE2019), ALE2-TuM12, (Bellevue, USA, 2019/7/23).
  527. Hua-Hsuan Chen, D. Ohori, T. Ozaki, M. Utsuno, T. Kubota, T. Nozawa, S. Samukawa,“Low Temperature High Quality Silicon Dioxide by Neutral Beam Enhanced Atomic Layer Deposition”, AVS 19th International Conference(ALD/ALE2019), AF-TuA1, (Bellevue, USA, 2019/7/23).
  528. (基調講演)Seiji Samukawa, “Creating Green Nanostructures and Nanomaterials for Advanced Energy Nanodevices”,IEEE International Microwave, Electron Devices & Solid-State Circuit Symposium (IMESS) 2019, (Penang, 2019/10/9).
  529. (招待講演) Seiji Samukawa, “Creating Green Nanostructures and Nanomaterials for Advanced Energy Nanodevices”, IEEE Distinguished Lecturer Program (Universiti Malaysia Perlis, 2019/10/10).
  530. (招待講演) Seiji Samukawa, “Atomic Layer Defect-free Etching for Future sub-10nm Nano-devices”, 2019 International Electron, Devices and Materials Symposium (IEDMS 2019), B3-1 (New Taipei City, 2019/10/25).
  531. M.-H. Chuang , Y. Li, M.-Y. Lee, D. Ohori, and Seiji Samukawa, Invited Impact of Elastic Properties on Phonon Energy Dispersion of Highly Ordered Silicon Nanowires, 16th International Conference of Flow Dynamics, OS1/3-4 (Sendai, 2019/11/06).
  532. I. Yamashtia, N. Okamoto,and Seiji Samukawa, Control Arraying of Cage-shaped Protein with Core and Surface Modification, The 19th International Symposium on Advanced Fluid Information (AFI-2019), CRF-6 (Sendai, 2019/11/07).
  533. T. Gyakushi, Y. Asai, A. Tsurumaki-Fukuchi, M. Arita, Y. Takahashi, and Seiji Samukawa, Significant Reduction of Thermal Conductivity of Si Nanopillar/SiGe Composite Film Fabricated by Neutral Beam Etching Investigated by a Piezoelectric Photothermal Measurements, The 19th International Symposium on Advanced Fluid Information (AFI-2019), CRF-12 (Sendai, 2019/11/07).
  534. T. Gyakushi, Y. Asai, A. Tsurumaki-Fukuchi, M. Arita, Y. Takahashi, and Seiji Samukawa, Double-gate Single-electron Transistor Formed by Fe Nanodot Array, The 19th International Symposium on Advanced Fluid Information (AFI-2019), CRF-30 (Sendai, 2019/11/07).
  535. M.-Y. Lee, Y. Li, M.-H. Chuang, D. Ohori, and Seiji Samukawa, Simulation of Thermoelectric Properties for SiNW-SiGe0.3 Composite Using Landauer Approach, The 19th International Symposium on Advanced Fluid Information (AFI-2019), CRF-35 (Sendai, 2019/11/07).
  536. W. Kim, J. Yu, H. J. Hwang, T. Okada, Seiji Samukawa,and D. Choi , Electron Blocking Layer Based Interfacial Engineering for Improving Triboelectric Performance, The 19th International Symposium on Advanced Fluid Information (AFI-2019), CRF-48 (Sendai, 2019/11/07).
  537. K. Yamashita, M. Harada, T. Morie, A. Tsurumaki-Fukuchi, M. Arita, Y. Takahashi,and Seiji Samukawa, Investigation of LSI Architecture and Analog Memory Devices for Brain-like Systems, The 19th International Symposium on Advanced Fluid Information (AFI-2019), CRF-56 (Sendai, 2019/11/07).
  538. S. Obayashi, Seiji Samukawa, T. Takagi, H. Wada, T. Okada, Y. Watanabe, M. Hashimoto, T. Iijima, P. Guy, L. Udpa, Y. Hattori, H. Nagai, K. Shimoyama, M. Hirota, A. Yakeno, G. Kikugawa, A. Komiya, S. Takeda, J. Ishimoto, T. Uchimoto, H. Kosukegawa, Multiphase Flow and Interfacial Transport Phenomena at Phase and Material Boundaries, The 19th International Symposium on Advanced Fluid Information (AFI-2019), CRF-J2 (Sendai, 2019/11/07).
  539. (招待講演) Seiji Samukawa,Kazuhiko Endo, “Atomic Layer Etching, Deposition and Modification Processes for Novel Nano-materials and Nano-devices”, 3rd Asia-Pacific Conference on Plasma Physics, PL-26 (Hefei, China, 2019/11/07).
  540. S.-W. Chang, P.-J. Sung, T-Y. Chu, D. D.Lu, C. -J. Wang, N.-C. Lin, C.-J. Su, S.-H. Lo, H.-F. Huang, J.H. Li, M.-K.Huang, Y.-C. Huang, S.-T. Huang, H.-C. Wang, Y.-J. Huang, J.-Y. Wang, L.-WYu, Y.-F. Huang, F.-K. Hsueh, C.-T. Wu, W. C.-Y. Ma, K.-H. Kao, Y.-J. Lee,C.-L. Lin, R.W. Chuang, K.-P. Huang, S. Samukawa, Y. Li, W.-H. Lee, T.-S.Chao, G.-W. Huang, W.-F. Wu, J.-Y. Li, J.-M. Shieh, W. -K. Yeh, Y.-H. Wang、“First Demonstration of CMOS Inverter and 6T-SRAM Based on GAA CFETsStructure for 3D-IC Applications”, IEEE 2019 International Electron Device Meeting, 11.7 (SanFrancisco/USA, 2019/12/10)..
  541. (招待講演) Seiji Samukawa, “Atomic Layer Defect-Free Top-Down Process for Future Nano-Devices”,2020 IEEE Electron Devices Technology and Manufacturing Conference (EDTM),1B-4 (Penang, Malaysia, 2020/4/6).
  542. Seiji Samukawa,Takahiro Sawada, Daisuke Ohori, Kenta Sugawara, Masaya Okada, Ken Nakata, Kazutaka Inoue, Daisuke Sato, Hideyuki Kurihara, Atomic Layer GaN Etching by HBr Neutral Beam, The AVS 20th International Conference on Atomic Layer Deposition (ALD 2020) featuring the 7th International Atomic Layer Etching Workshop (ALE 2020), ALE2-TuM10(Virtual Meeting, 2020/6/30).
  543. (Keynotes Talk) Seiji Samukawa,“Atomic Layer Defect-Free Top-Down Process for Future Nano-Devices”, The 20th IEEE International Conference on Nanotechnology (IEEE NANO 2020), WeKNO1.1 (Virtual Meeting, 7/29/2020).
  544. Narasimhulu Thoti, Yiming Li, Sekhar Reddy Kola, Seiji Samukawa, New Proficient Ferroelectric Nanosheet Line Tunneling FETs with Strained SiGe through Scaled N-Epitaxial Layer, The 20th IEEE International Conference on Nanotechnology (IEEE NANO 2020), FrOAO1.5(Virtual Meeting, 2020/7/31).
  545. (招待講演) Seiji Samukawa, Atomic Layer Etching, Deposition & Modification Processes for Future Nanoscale-devices, IEEE NTC Distinguished Lecture in Joint Technical Seminar Program (Oregon IEEE Nano & Joint EPS/CAS Chapters),(Virtual Meeting, 2020/10/16).
  546. T.-Z. Hong, W.-H. Chang, A. Agarwal, Y.-T. Huang, C.-Y. Yang, T.-Y.Chu, H.-Y. Chao, Y. Chuang, S.-T. Chung,J.-H. Lin, S.-M. Luo, C.-J. Tsai, M.-J. Li, X.-R. Yu, N.-C. Lin, T.-C. Cho,P.-J. Sung, C.-J. Su1, G.-L. Luo,F.-K. Hsueh, K.-L. Lin, H. Ishii, T. Irisawa, T. Maeda, C.-T. Wu, W. C.-Y.Ma, D.-D. Lu, K.-H. Kao, Y.-J. Lee,H. J.-H. Chen, C.-L. Lin, R. W. Chuang, K.-P. Huang, S. Samukawa, Y.-M. Li,J.-H. Tarng, T.-S. Chao, M. Miura,G.-W. Huang, W.-F. Wu, J.-Y. Li, J.-M. Shieh, Y.-H. Wang, W.-K. Yeh, First Demonstration of heterogenous Complementary FETs utilizing Low-Temperature (200 °C) Hetero-Layers Bonding Technique (LT-HBT), IEEE 2020 International Electron Device Meeting,15.5 (Virtual Meeting, 2020/12/04).
  547. Narasimhulu Thoti, Yiming Li, Sekhar Reddy Kola, S. Samukawa, High-performance metal-ferroeletric-semiconductor nanosheet line tunneling field effect transistors with strained sige, 2020 International Conference on Simulation of Semiconductor Processes and Devices(SISPAD),ページ 375-378, 9241591, 2020-September (Virtual Meeting, 2020/9/23).
  548. Daisuke Ohori, Niraj Man Shrestha, Yiming Li, Jenn-Hwan Tarng, S. Samukawa, High Performance GaN HEMT and Ge Fin FET Device Realizing by Atomic-layer Defect-free Etching with Chlorine Neutral Beam, International Symposium on VLSI Technology, Systems and Applications(VLSI-TSA),ページ 108-109, 9203657 (Hsinchu, Taiwan, 2020/10/13).
  549. Beibei Ge, Hua-Hsuan Chen, Daisuke Ohori, Takuya Ozaki, Seiji Samukawa, Neutral Beam Enhanced Atomic Layer Deposition at Room Temperature for Si Dielectric Film, The AVS 21th International Conference on Atomic Layer Deposition (ALD 2021) featuring the 7th International Atomic Layer Etching Workshop (ALE 2021), AF701 (On Demand, 2021/6/27-30).
  550. Takahiro Sawada, Daisuke Ohori, Kenta Sugawara, Masaya Okada, Ken Nakata, Kazutaka Inoue, Daisuke Sato, Seiji Samukawa,“Selective Atomic Layer Etching between GaN and SiN by Using HBr Neutral Beam”, The AVS 21th International Conference on Atomic Layer Deposition (ALD 2021) featuring the 7th International Atomic Layer Etching Workshop (ALE 2021), LI-ALE-WeM324 (Virtual meeting, 2021/6/30).
  551. D. Ohori, M. Murata, A. Yamamoto, K. Endo, M.-H. Chuang, M.-Y. Lee, Y. Li, J.-H. Tarng, Y.-J. Lee, and S.Samukawa,“Si Nanopillar/SiGe Composite Structure for Thermally Managed Nano-Devices”,21st IEEE International Conference on Nanotechnology, ThAT2.5 (Virtual meeting, 2021/7/29).
  552. S. Takeuchi, D. Ohori, T. Ishida, M. Tanaka, M. Sota, K. Endo and S. Samukawa, “Surface Wettability of Nanopillar Array Structures Fabricated by Bio-Template Ultimate Top-Down Processes”, 21st IEEE International Conference on Nanotechnology, ThAT2.6 (Virtual meeting, 2021/7/29).
  553. (招待講演) S. Samukawa, “Damage-Free Plasma Processing for Nano-Devices Manufacturing”, 21st IEEE International Conference on Nanotechnology, FrCT2.3 (Virtual meeting, 2021/7/30).
  554. (Topical Plenary)Seiji Samukawa, “Surface wettability control of nanopillar array structures fabricated by bio-template ultimate top-down processes”, 5th Asia-Pacific Conference on Plasma Physics, AB-PL9(Remote e-conference, 2021/09/28).
  555. (招待講演) Seiji Samukawa, “Atomic Layer Neutral Beam Processes for Nanofabrication and Interface Engineering”, 240th ECS Meeting, G02-0938 (Orlando Florida, Oct. 14/2021)
  556. (基調講演) Seiji Samukawa, “Atomic Layer Neutral Beam Processes for Nanofabrication and Interface Engineering”, 2021 JAPAN-TAIWAN Advanced Materials and Semiconductor Technology Workshop, K-2-1(Virtual meeting, 2021/10/28).
  557. (招待講演) Seiji Samukawa, “Creating Green Nanostructures and Nanomaterials for Advanced Energy Nanodevices”, The fourth International Workshop on Symbiosis of Biology and Nanodevices, No.5 (Virtual meeting, 2021/11/04).
  558. (Keynote Talk) Seiji Samukawa,“Atomic Layer Neutral Beam Processes for Nanofabrication and Interface Engineering”, 2021 IEEE Nanotechnology Marathon (Virtual meeting, 2021/11/24).
  559. Taichi Konno, Daisuke Ohori, Shuichi Noda, Mutsuo Hidaka, Kazuhiko Endo, Hiroto Mukai, Akiyoshi Tomonaga, Jaw-Shen Tsai, and Seiji Samukawa,“Effects of Nb Oxide Films Controlled by Neutral Beam Oxidation on Q-value of Superconducting Resonators”, 34th International Symposium on Superconductivity, ED8-3 (Virtual Meeting, 2021/12/2).
  560. Aditya Saha, Daisuke Ohori, Seiji Samukawa,“High-Quality Passivating Oxide Layer for Silicon-Organic Hybrid Solar Cell Applications”, 31st International Photovoltaic Science and Engineering Conference, 66 (Virtual Meeting, 2021/12/14).
  561. S.-W.Chang, T.-H. Lu , C.-Y.Yang, C.-J. Yeh, M.-K. Huang, C.-F. Meng,P.-J. Chen, T.-H. Chang, Y.-S. Chang,J.- W. Jhu, T.-Z. Hong, C.-C. Ke, X.-R.Yu, W.-H. Lu, M. A. Baig, T.-C. Cho, P.-J. Sung, C.-J.Su, F.-K. Hsueh, B.-Y. Chen, H.-H. Hu, C.-T. Wu, K.-L. Lin, W. C.-Y. Ma, D.-D. Lu, K.-H. Kao,Y.-J. Lee, C.-L. Lin, K.-P. Huang, K.- M. Chen, Y. Li, S. Samukawa, T.-S. Chao, G.-W. Huang, W.-F. Wu, W.-H. Lee, J.-Y. Li, J.-M. Shieh, J.-H. Tarng, Y.-H.Wang, W.-K. Yeh, “First Demonstration of Heterogeneous IGZO/Si CFET Monolithic 3D Integration with Dual Work function Gate for Ultra Low-power SRAM and RF Applications”, IEEE 2021 International Electron Device Meeting, 34.4 (Virtual Meeting, 2021/12/15).
  562. (基調講演)Seiji Samukawa,“Plasma Nanotechnologies, =Introduction and Background=”,1st International Meeting of IEEE NTC, TC17 Emerging Plasma Nanotechnology 1 (Virtual Meeting, 2022/02/09).
  563. Daisuke Ohori‚ Beibei Ge, Yi-Ho Chen,Takuya Ozaki, Kazuhiko Endo, Yiming Li‚ Jenn-Hwan Tarng, Seiji Samukawa,“Low-temperature HfO2/SiO2 Gate Stacked Film Grown by Neutral Beam Enhanced Atomic Layer Deposition”, 22nd International Conference on Atomic Layer Deposition (ALD 2022) featuring the 9th International Atomic Layer Etching Workshop (ALE 2022), AF3-MoA06 (Ghent, Belgium, 2022/06/27).
  564. Takahiro Ishihara, Takahiro Sawada, Daisuke Ohori, Xuelun Wnag, Kazuhiko Endo, Nobuhiro Natori, Daisuke Sato, Yiming Li, Seiji Samukawa,“Hydrogen Iodide (HI) Neutral Beam Etching for InGaN/GaN Micro-LED”, 22nd IEEE International Conference on Nanotechnology (IEEE NANO 2022), WeBT8-1 (Palma de Mallorca, Spain, 2022/07/07).
  565. (Invited)Seiji Samukawa, Green Nanotechnology =Atomic Layer Technologies for Nano Materials and Devices=, 19th International Conference on Flow Dynamics(ICFD 2022) OS1/3-1,(Sendai,2022/11/10).
  566. H. Ohyama,T. Harada, K. Morita, S. Harada, D. Ohori, S. Samukawa, T. Ikari, and A. Fukuyama, Analysis of In-Plane Thermal Conduction in Si-Nanopillar/SiGe Composite Films by Laser Heterodyne Photothermal Displacement Signal and Theoretical Calculation, The 22nd International Symposium on Advanced Fluid Information (AFI-2022) in ICFD 19th ,OS22/CRF-17 (Sendai, 2022/11/11).
  567. Aditya Saha, Takahiko Sasaki, Daisuke Ohori, Keisuke Itoh, Seiji Samukawa, Effect of DMSO on the morphology of PEDOT:PSS thin films for applications in hybrid solar cells, PVSEC-33, TuP-32-05 (Nagoya Congress Center,Nagoya,Japan, 2022/11/15)
  568. X.-R.Yu, M.-H.Chuang, S.-W.Chang, W.-H.Chang, T.-C.Hong, C.-H.Chiang, W.-H.Lu, C.-Y.Yang, W.-J.Chen, J.-H.Lin, P.-H.Wu, T.-C.Sun, S.Kola, Y.-S.Yang, Yun Da, P.-J.Sung, C.-T.Wu, T.-C.Cho, G.-L.Luo, K.-H.Kao , M.-H.Chiang, W.C.-Y.Ma, C.-J.Su, T.-S.Chao, T.Maeda, S.Samukawa, Y.Li, Y.-J.Lee, W.-F.Wu, J.-H.Tarng, and Y.-H.Wang, Integration Design and Process of 3-D Heterogeneous 6T SRAM with Double Layer Transferred Ge/2Si CFET and IGZO Pass Gates for 42% Reduced Cell Size, IEEE 2022 International Electron Device Meeting, 20.5(San Francisco, 12/06/2022)
  569. (Keynote Talk) Seiji Samukawa, Creating Green Nanostructures and Nanomaterials for Advanced Energy Nanodevices, 2023 1st International Symposium on Carbon Materials(2023 ISCM-1) for Energy, Environment, Sustainability, and Bio-applications with the 6th Taiwan Carbon Conference Energy & Carbon Materials, Keynote-7 (Tainan, 02/01/2023)
  570. (Invited)Fukuyama Atsuhiko, Harada Tomoki, Harada Shogo, Ohyama Hiroki, Ohori Daisuke, Endo Kazuhiko, Samukawa Seiji, and Ikari Tetsuo, Carrier Lifetime Change by Nanopillar Space in Si nanpillar/SiGe Composite Films Investigated by a Laser Heterodyne Photothermal Displacement Method (I), IEEE international Conference on Nanotechnology, MoGT6.3 (Jeju Korea, 07/03/2023)
  571. (Invited)Samukawa Seiji, High-Mobility Semiconductor Devices Due to Control of Phonon Field Caused by Defect-Free Nano-Periodic Structures (I), IEEE international Conference on Nanotechnology, MoGT6.4 (Jeju Korea, 07/03/2023)
  572. (Keynote Talk) Samukawa Seiji, Emerging Plasma Nanotechnology =Atomic Layer Technologies for Nano-materials and Nano-devices=, IEEE international Conference on Nanotechnology,Keynote Talk 6, TuDT2.1 (Jeju Korea, 07/04/2023)
  573. Saha Aditya, Oshima Ryuji, Ohori Daisuke, Okuzaki Hidenori,Yano Hirokazu, Sasaki Takahiko,Endo Kazuhiko, Samukawa Seiji, and Tokumasu Takashi, Effect of Thin Interfacial Oxides on Self-Doped PEDOT/Si Hybrid Solar Cells, IEEE international Conference on Nanotechnology, TuJT5.3 (Jeju Korea, 07/04/2023)
  574. Ohori Daisuke, Wang Xuelun, Li Yiming, and Samukawa Seiji, HI Neutral Beam Etching Characteristics of InGaN for Micro-LED, IEEE international Conference on Nanotechnology, WeIT2.3 (Jeju Korea, 07/05/2023)

 

(6) 国内学会

  1. (招待講演) 寒川誠二, ECRプラズマエッチング技術, 第8回プラズマプロセシング研究会, (名古屋, 1991).
  2. (招待講演) 寒川誠二, ECRプラズマ状態とエッチング現象, 本学術振興会/プラズマ材料科学第153委員会、第13回研究会, (広島, 1991).
  3. (招待講演) 寒川誠二, プラズマ中のイオン運動方向とエッチング現象, 電気学会放電研究会, (東京, 1991).
  4. (招待講演) 寒川誠二, ECRプラズマエッチング技術, 日本工業振興協会/スパッタリングプラズマプロセシング部会, (東京, 1992).
  5. (招待講演) 寒川誠二, 高精度エッチングための安定、均一ECRプラズマ生成,, セミテクノロジセミナー, (東京, 1992).
  6. (招待講演) 寒川誠二, 高精度ECRプラズマエッチング, プラズマ材料科学シンポジウム, (東京, 1993).
  7. (招待講演) 寒川誠二, 高精度ECRプラズマエッチング, 電気学会/超微細製造技術専門委員会シンポジウム, (千葉, 1993).
  8. (招待講演) 寒川誠二, 超LSIにおける高精度プラズマエッチング技術, プラズマ核融合学会専門講習会, (福岡, 1993).
  9. (招待講演) 寒川誠二, 高密度プラズマによる高精度エッチング, 国際真空展セミナー, (千葉, 1993).
  10. (招待講演) 寒川誠二, プラズマエッチングの現状と課題, 日経マイクロデバイスセミナー, (東京, 1994).
  11. (招待講演) 寒川誠二, タイムモジュレーションプラズマによる高精度エッチング, 応用物理学会東海支部若手セミナー, (名古屋, 1994).
  12. (招待講演) 寒川誠二, プラズマエッチングにおけるチャージアップ現象とその抑制, 第12回プラズマプロセシング研究会, (仙台, 1995).
  13. (招待講演) 寒川誠二, タイムモジュレーションプラズマによる高精度エッチング, 1995春応用物理学会シンポジウム, (神奈川, 1995).
  14. (招待講演) 寒川誠二, タイムモジュレーションプラズマによる高精度エッチング, セミコン関西技術セミナー, (京都, 1995).
  15. (招待講演) 寒川誠二, パルス変調プラズマ, 真空協会例会, (東京, 1995).
  16. (招待講演) 寒川誠二, プラズマエッチングにおける新しい展開, UHFプラズマとタイムモジュレーションプラズマ, セミテクノロジーシンポジウム, (千葉, 1995).
  17. (招待講演) 寒川誠二、大竹浩人、横沢亜由美, タイムモジュレーションECRプラズマによる負イオン生成とチャージフリーエッチング, 1996年春応用物理学会シンポジウム, (埼玉, 1996).
  18. (招待講演) 寒川誠二, 高密度プラズマエッチングにおける現状と将来展望, 第50回半導体集積回路シンポジウム, (東京, 1996).
  19. (招待講演) 寒川誠二, 高密度プラズマ源におけるイオン速度分布, 応用物理学会東海支部若手セミナー, (名古屋, 1996).
  20. (招待講演) 寒川誠二, タイムモジュレーションプラズマとUHFプラズマ, 電気学会放電研究グループシンポジウム, (東京, 1996).
  21. (招待講演) 寒川誠二, 半導体製造へのプラズマ応用の現状と課題, 応用物理学会九州支部特別講演, (博多, 1996).
  22. (招待講演) 寒川誠二, パルス変調プラズマにおける負イオンの生成とチャージフリーエッチング, 応用物理学会中国四国支部特別講演会, (広島, 1996).
  23. (招待講演) 寒川誠二, パルス変調プラズマにおけるチャージングフリーエッチング, 応用物理学会電子応用物性分科会セミナー, (東京, 1996).
  24. (招待講演) 寒川誠二, パルス変調プラズマとは?, 応用物理学会スクール, (九州, 1996).
  25. (招待講演) 寒川誠二, 超LSIにおける高精度プラズマエッチング技術, 電子衝突プラズマプロセシング研究会, (山口宇部, 1996).
  26. (招待講演) 寒川誠二, パルス変調によるプラズマ制御とプラズマプロセスへの展開, プラズマエレクトロニクス講習会, (日吉, 1996).
  27. (招待講演) 寒川誠二, パルス変調プラズマによる負イオン生成と高精度エッチング, 第7回粒子線の先端的応用技術に関するシンポジウム, (東京, 1996).
  28. (招待講演) 寒川誠二, 次世代高精度エッチング技術, 精細構造プロセス/デバイス専門委員会, (東京, 1996).
  29. (招待講演) 寒川誠二, 大口径対応UHFプラズマ源, スパッタリング及びプラズマプロセス技術部会第55回定例会, (東京, 1997).
  30. (招待講演) 寒川誠二, タイムモジュレーションプラズマによる負イオン生成と高精度エッチング, 1997年春応用物理学会シンポジウム, (東京, 1997).
  31. (招待講演) 寒川誠二, UHFプラズマによる大口径高精度エッチング, 電気学会専門調査委員会, (東京, 1997).
  32. (招待講演) 寒川誠二, 放電周波数の効果とUHFプラズマによる高精度エッチング, 日本学術振興会153委員会, pp.21 (東京, 1997).
  33. (招待講演) 寒川誠二, 放電周波数の効果とUHFプラズマによる高精度エッチング, 日本学術振興会、未来開拓学術研究推進事業研究プロジェクト第3回研究会, (名古屋, 1998).
  34. (招待講演) 寒川誠二, プラズマ中電子エネルギー分布制御による活性種制御とエッチング特性, 物理学会原子衝突協会, (神奈川, 1998).
  35. (招待講演) 寒川誠二, タイムモジュレーションプラズマによる高精度エッチング, 1998年秋応用物理学会シンポジウム, (広島, 1998).
  36. (招待講演) 寒川誠二, 高密度プラズマエッチング機構, フロンティアプロセス98, (葉山, 1998).
  37. (招待講演) 寒川誠二, パルスプラズマの特徴と利用, 日本真空協会例会, (東京, 1998).
  38. (招待講演) 寒川誠二, 次世代高密度プラズマエッチング技術, 半導体専門講習会, (蔵王, 1999).
  39. (招待講演) 寒川誠二, 選択ラジカル生成法による高精度酸化膜エッチング, 九州山口プラズマプロセス研究会, (山口, 1999).
  40. (招待講演) 寒川誠二, 高密度プラズマを用いたドライエッチング技術, 第28回薄膜・表面物理基礎講座, (東京, 1999).
  41. (招待講演) 寒川誠二, プラズマエッチング高精度化へのチャレンジと今後の展望, 東北大学電気通信研究所共同プロジェクト研究研究会, (仙台, 2000).
  42. (招待講演) 寒川誠二, UHFプラズマ特性とエッチング, 応用物理学会連合講演会シンポジウム, (東京, 2000).
  43. (招待講演) 寒川誠二, UHF帯、マイクロ波帯大口径プラズマ生成技術, 照明学会, (東京, 2000).
  44. (招待講演) 寒川誠二, 絶縁膜材料エッチング技術, 応用物理学会プラズマエレクトロニクス講習会, (東京, 2000).
  45. (招待講演) 寒川誠二, プラズマエッチングプロセスの課題と今後の展望, 電子情報通信学会シリコン材料デバイス研究会, (仙台, 2000).
  46. (招待講演) 寒川誠二, プラズマエッチング高精度化へのチャレンジ, 化学工学会, (浜松, 2000).
  47. (招待講演) 寒川誠二, プラズマエッチング技術動向と今後の展望, 電子技術総合研究所・ナノテクノロジーセミナー, (つくば, 2001).
  48. (招待講演) 寒川誠二, プラズマエッチング技術高精度化へのチャレンジと今後の展望, 無機材質研究所・第19回耐熱材料研究会, (つくば, 2001).
  49. (招待講演) 寒川誠二, ナノテクノロジー時代のプラズマ加工―超微細加工へのチャレンジ―, 半導体技術応用研究協議会, (長崎, 2001).
  50. 坂本啓介、一木克則、寒川誠二, マルチビーム生成装置の開発(1) =中性粒子ビーム生成の検討= , 2001年春季 第48回 応用物理学関係連合講演会, (東京, 2001/03/28).
  51. (招待講演) 寒川誠二, プラズマエッチングにおけるサブサーフェス, 2001年春季 第48回 応用物理学関係連合講演会, (東京, 2001/03/28).
  52. 熊谷慎也、坂本啓介、寒川誠二, 電子ビームインジェクションUHFプラズマの検討(I), 2001年秋季 第62回応用物理学会学術講演会, 11a-ZG-10 (豊田, 2001/09/11).
  53. 坂本啓介、寒川誠二、一木克則, マルチビーム生成装置の開発(2)~正・負イオンによる中性化率の比較~, 2001年秋季 第62回応用物理学会学術講演会, 11p-ZG-9 (豊田, 2001/09/11).
  54. 中野俊樹、石川寧、熊谷慎也、寒川誠二, 窒素誘導結合プラズマの真空紫外分光計測, 2001年秋季 第62回応用物理学会学術講演会, 13a-ZG-9 (豊田, 2001/09/13).
  55. (招待講演) 寒川誠二、Vincent M. Donnelly、Mikhail V. Malyshev, 応用物理学会論文賞「解説論文賞」受賞記念講演 プラズマエッチングにおける放電周波数の効果とUHFプラズマによる高精度エッチング, 2001年秋季 第62回応用物理学会学術講演会, 13p-ZG-1 (豊田, 2001/09/13).
  56. 石川寧、沖川満、熊谷慎也、寒川誠二, タイムモジュレーションプラズマによる放射光ダメージの抑制, 2001年秋季 第62回応用物理学会学術講演会, 13p-ZG-10 (豊田, 2001/09/13).
  57. (招待講演) 寒川誠二, 高密度低エネルギー中性粒子生成技術とナノプロセス, 応用物理学会シリコンテクノロジー分科会第37回研究会, (東京, 2002).
  58. (招待講演) 寒川誠二, プラズマエッチング技術の新展開, 東北大学電気通信研究所共同プロジェクト研究研究会, (仙台, 2002).
  59. (招待講演) 寒川誠二, プラズマプロセスにおける今後の装置開発戦略, 2002年春季 第49回 応用物理学関係連合講演会, 28p-YQ-7 (平塚, 2002/03/28).
  60. 石川寧、沖川満、熊谷慎也、寒川誠二, タイムモジュレーションプラズマによる放射光ダメージの抑制(2), 2002年春季 第49回 応用物理学関係連合講演会, 29a-ZE-3 (平塚, 2002/03/29).
  61. 沖川満、石川寧、熊谷慎也、寒川誠二, プラズマからの紫外線がCCD固体撮像素子に与える影響, 2002年春季 第49回 応用物理学関係連合講演会, 29a-ZE-4 (平塚, 2002/03/29).
  62. 坂本啓介、寒川誠二、一木克則, マルチビーム生成装置の開発(3)~Poly-Siゲート電極エッチングへの応用~, 2002年春季 第49回 応用物理学関係連合講演会, 29a-ZE-8 (平塚, 2002/03/29).
  63. 坂本啓介、寒川誠二、一木克則, マルチビーム生成装置の開発(4)~負イオン生成効率の最適化~, 2002年春季 第49回 応用物理学関係連合講演会, 29a-ZE-9 (平塚, 2002/03/29).
  64. 曽田真之介、新村忠、小柳光正、羽根一博、寒川誠二, オンウェハ-モニタリングによるコンタクトホール側壁導電性の測定[2]~フロロカーボン膜の構造解析~, 2002年春季 第49回 応用物理学関係連合講演会, 29p-ZE-3 (平塚, 2002/03/29).
  65. 沖川満、石川寧、寒川誠二, プラズマからの紫外光がCCD固体撮像素子に与える影響(2)-暗電流増加機構-, 2002年秋季 第63回応用物理学会学術講演会, 25a-Q-1 (新潟, 2002/09/25).
  66. 石川寧、沖川満、寒川誠二, タイムモジュレーションプラズマによる放射光ダメージの抑制(3)~ESRによる欠陥の測定~, 2002年秋季 第63回応用物理学会学術講演会, 25a-Q-2 (新潟, 2002/09/25).
  67. (招待講演) 寒川誠二, マルチビームによる超高精度エッチングプロセスと今後の展開, 2002年秋季 第63回応用物理学会学術講演会, 25p-Q-1 (新潟, 2002/09/25).
  68. 新村忠、木村康男、庭野道夫、寒川誠二, フルオロカーボンプラズマによるポリマー堆積過程の検討, 2002年秋季 第63回応用物理学会学術講演会, 25p-Q-7 (新潟, 2002/09/25).
  69. 曽田真之介、新村忠、小柳光正、羽根一博、寒川誠二, オンウエハーモニタリングによるコンタクトホール側壁導電性の測定(3)-C2F4プラズマ堆積膜の構造解析-, 2002年秋季 第63回応用物理学会学術講演会, 25p-Q-8 (新潟, 2002/09/25).
  70. 大竹浩人、石原博之、輿石公、寒川誠二, CF3I/C2F4プラズマへのAr添加による高精度SiO2コンタクトエッチング, 2002年秋季 第63回応用物理学会学術講演会, 25p-Q-9 (新潟, 2002/09/25).
  71. 熊谷慎也、中野俊樹、寒川誠二, 電子ビームインジェクションUHFプラズマの検討III~解離度の比較~, 2002年秋季 第63回応用物理学会学術講演会, 26a-D-9 (新潟, 2002/09/26).
  72. Magdy Mourad、熊谷慎也、寒川誠二, Al, Si, SiO, Auデバイスによる電子放出, 2002年秋季 第63回応用物理学会学術講演会, 26a-Q-4 (新潟, 2002/09/26).
  73. 沖川満、石川寧、寒川誠二, プラズマがCCD固体撮像素子に与える影響(3)-マイクロレンズ形成プロセス-, 2003年春季 第50回 応用物理学関係連合講演会, 29a-YG-10 (横浜, 2003/03/29).
  74. 野田周一、寒川誠二、西森浩友、井田徹、有門経敏、一木克則, 中性粒子ビームを用いた極微細ゲート加工(1), 2003年春季 第50回応用物理学関係連合講演会, 29a-YG-1 (横浜, 2003/03/29).
  75. 石川寧、沖川満、山崎聡、寒川誠二, タイムモジュレーションプラズマによる放射光ダメージの抑制(4)~プラズマ照射によるE'センタの生成~, 2003年春季 第50回応用物理学関係連合講演会, 29a-YG-11 (横浜, 2003/03/29).
  76. 鈴木裕也、曽田真之介、新村忠、寒川誠二, プラズマプロセス用オンウエハモニタリング技術の開発(1)-入射イオンの計測-, 2003年春季 第50回応用物理学関係連合講演会, 29a-YG-12 (横浜, 2003/03/29).
  77. 曽田真之介、新村忠、小柳光正、羽根一博、寒川誠二, オンウエハーモニタリングによるコンタクトホール側壁導電性の測定(4)-側壁堆積膜によるチャージアップの緩和-, 2003年春季 第50回応用物理学関係連合講演会, 29a-YG-13 (横浜, 2003/03/29).
  78. 新村忠、老川晶久、寒川誠二, CF3I/C2F4ガスケミストリによるシリコン酸化膜エッチング機構の検討, 2003年春季 第50回応用物理学関係連合講演会, 29a-YG-14 (横浜, 2003/03/29).
  79. 伊崎隆一郎、寒川誠二, 活性種インジェクションシステムの開発(1) CF3ラジカルの選択供給, 2003年春季 第50回応用物理学関係連合講演会, 29p-YG-11 (横浜, 2003/03/29).
  80. 大竹浩人、井上允彦、尾崎卓哉、松下篤志、松村功、寒川誠二, 中性粒子ビーム源をもちいたポーラスMSQ膜アッシングダメージ発生機構の検討(1)-酸素中性粒子によるダメージ-, 2003年春季 第50回応用物理学関係連合講演会, 29p-YG-14 (横浜, 2003/03/29).
  81. 井上允彦、大竹浩人、尾崎卓哉、松下篤志、松村功、寒川誠二, 中性粒子ビーム源をもちいたポーラスMSQ膜アッシングダメージ発生機構の検討(2)-水素中性粒子によるダメージ-, 2003年春季 第50回応用物理学関係連合講演会, 29p-YG-15 (横浜, 2003/03/29).
  82. 熊谷慎也、白岩利章、寒川誠二, 塩素プラズマによる高異方性PtMnエッチング, 2003年秋季 第64回応用物理学会学術講演会, 31a-ZK-1 (福岡, 2003/08/31).
  83. 井上允彦、大竹浩人、尾崎卓哉、寒川誠二, 中性粒子ビーム源により形成したポーラスMSQ膜改質層のCuバリヤ性評価, 2003年秋季 第64回応用物理学会学術講演会, 31a-ZK-9 (福岡, 2003/08/31).
  84. 沖川満、市橋由成、石川寧、寒川誠二, プラズマがCCD固体撮像素子に与える影響(4)-CF系ガス依存-, 2003年秋季 第64回応用物理学会学術講演会, 31p-ZK-10 (福岡, 2003/08/31).
  85. 石川寧、加藤裕司、沖川満、山崎聡、寒川誠二, タイムモジュレーションプラズマによる放射光ダメージの抑制(5)~実ガスプロセスにおけるプラズマ誘起電流の測定, 2003年秋季 第64回応用物理学会学術講演会, 31p-ZK-11 (福岡, 2003/08/31).
  86. 鈴木裕也、角祐輔、新村忠、寒川誠二, プラズマプロセス用オンウエハモニタリング技術の開発(2)-入射イオン電流の計測-, 2003年秋季 第64回応用物理学会学術講演会, 31p-ZK-18 (福岡, 2003/08/31).
  87. (招待講演) 寒川誠二、山下一郎, バイオナノプロセス:微細化への新たなアプローチ, 2003年秋季 第64回応用物理学会学術講演会, 31p-YA-8 (福岡, 2003/08/31).
  88. 馬場智大,久保田智広,寒川誠二,川嶋宏之,井上啓一,浦岡行治,冬木隆,山下一郎, フェリチンコアを用いたナノ構造の作製, 2003年秋季 第64回応用物理学会学術講演会, 1p-T-8 (福岡, 2003/09/01).
  89. 久保田智広,馬場智大,寒川誠二,川嶋宏之,井上啓一,浦岡行治,冬木隆,山下一郎, フェリチン2次元膜の不純物が半導体プロセスに及ぼす影響とその制御, 2003年秋季 第64回応用物理学会学術講演会, 1p-T-9 (福岡, 2003/09/01).
  90. (招待講演) 寒川誠二, 新しいビームを用いた究極のトップダウン加工, 応用物理学会スクール, (東京, 2004).
  91. (招待講演) 寒川誠二, 新しいビームを用いた究極のトップダウン加工, 大阪大学特別講演, (大阪, 2004).
  92. (招待講演) 寒川誠二, 新しいビームを用いた究極のトップダウン加工, 奈良先端科学技術大学院大学特別講演, (奈良, 2004).
  93. (招待講演) 寒川誠二, 新しいビームを用いた究極のトップダウン加工, 核融合学会 プラズマ夏の学校, (岩手, 2004).
  94. (招待講演) 寒川誠二, プラズマエッチングの最前線とナノ加工, 薄膜材料デバイス研究会組織委員会 薄膜デバイス研究会, (奈良, 2004).
  95. (招待講演) 寒川誠二, プラズマエッチングの最前線とナノ加工, 光産業技術振興協会, (奈良, 2004).
  96. 峯村洋一、川江健、福田誠一、寒川誠二, パルス変調中性粒子ビームを用いた極薄酸窒化膜の形成, 第21回プラズマプロセシング研究会, A3-12 (札幌, 2004/01/30).
  97. 鈴木裕也、角祐輔、新村忠、小柳光正、羽根一博、寒川誠二, オンウエハモニタリングによるSiO2コンタクトホールのチャージアップ電圧測定, 第21回プラズマプロセシング研究会, A3-10 (札幌, 2004/01/30).
  98. 野田周一、尾崎卓哉、一木克則、寒川誠二, 中性粒子ビームを用いた極微細ゲート加工の検討, 第21回プラズマプロセシング研究会, A3-01 (札幌, 2004/01/30).
  99. 石川寧、加藤裕司、沖川満、市橋由成、寒川誠二, CCD固体撮像素子のための低損傷プラズマプロセス, 第21回プラズマプロセシング研究会, A3-09 (札幌, 2004/01/30).
  100. 井上允彦、大竹浩人、尾崎卓哉、松下篤志、松下功、寒川誠二, 中性粒子ビームによる低ダメージLow-k 膜微細加工プロセス, 第21回プラズマプロセシング研究会, B2-08 (札幌, 2004/01/29).
  101. 加藤裕司、石川寧、沖川満、寒川誠二, オンウエハモニタリングによる紫外光照射損傷の制御, 第21回プラズマプロセシング研究会, B1-11 (札幌, 2004/01/28).
  102. 野田 周一, 尾崎 卓哉, 一木 克則, 寒川 誠二, 中性粒子ビームを用いた極微細ゲート加工(2), 2004年春季 第51回応用物理学関係連合講演会, 29a-H-6 (八王子, 2004/03/29).
  103. 加藤 裕司, 石川 寧, 沖川 満, 山崎 聡, 寒川 誠二, タイムモジュレーションプラズマによる放射光ダメージの抑制(6) ~CCD構造を想定したオンウエハモニタリング, 2004年春季 第51回応用物理学関係連合講演会, 29a-H-11 (八王子, 2004/03/29).
  104. 鈴木 裕也, 新村 忠, 寒川 誠二, プラズマプロセス用オンウエハモニタリング技術の開発(3), 2004年春季 第51回応用物理学関係連合講演会, 29p-H-1 (八王子, 2004/03/29).
  105. 老川 晶久, 熊谷 慎也, 新村 忠, 寒川 誠二, C2F4/CF3I混合ガス誘導結合プラズマによる高精度SiO2エッチング, 2004年春季 第51回応用物理学関係連合講演会, 29p-H-4 (八王子, 2004/03/29).
  106. 大竹 浩人, 井上 允彦, 尾崎 卓哉, 曽田 栄一, 犬飼 和明, 寒川 誠二, 中性粒子ビームによる対レジスト高選択ポーラスMSQエッチング, 2004年春季 第51回応用物理学関係連合講演会, 29p-H-13 (八王子, 2004/03/29).
  107. 井上 允彦, 大竹 浩人, 尾崎 卓哉, 曽田 栄一, 犬飼 和明, 寒川 誠二, 中性粒子ビームによる低損傷レジストアッシングプロセス, 2004年春季 第51回応用物理学関係連合講演会, 29p-H-14 (八王子, 2004/03/29).
  108. 遠藤 明, 峯村 洋一, 川江 健, 福田 誠一, 宮本 明,寒川 誠二, シリコン酸窒化膜形成反応に関する計算化学的検討, 2004年春季 第51回応用物理学関係連合講演会, 30p-D-1 (八王子, 2004/03/30).
  109. 峯村 洋一,川江 健,福田 誠一, 尾崎 卓哉, 寒川 誠二, パルス変調N2プラズマによるシリコン酸窒化膜の形成(3), 2004年春季 第51回応用物理学関係連合講演会, 30p-D-17 (八王子, 2004/03/30).
  110. 川江 健,峯村 洋一,福田 誠一, 尾崎 卓哉, 寒川 誠二, パルス変調O2中性粒子ビームを用いた極薄SiO2膜の形成, 2004年春季 第51回応用物理学関係連合講演会, 30p-D-18 (八王子, 2004/03/30).
  111. 馬場 智大, 久保田 智広, 川島 宏之, 浦岡 行治, 冬木 隆, 山下 一郎, 寒川 誠二, フェリチンコアを用いたナノ構造の作製(2) - ナノカラム構造の最適化 -, 2004年春季 第51回応用物理学関係連合講演会, 31a-ZQ-1 (八王子, 2004/03/31).
  112. 遠藤 明,峯村洋一,川江 健,福田誠一,宮本 明,寒川誠二, ビームによる極薄薄膜窒化プロセスの解析:大規模計算化学的手法の応用, 第1回東北大学バイオサイエンスシンポジウム, P-001 (仙台, 2004/05/14).
  113. 久保田智広,馬場智大,寒川誠二,川嶋宏之,浦岡行治,冬木 隆,山下一郎, フェリチン鉄コアマスクと低エネルギー中性粒子ビームを用いたナノカラム構造の作製, 第1回東北大学バイオサイエンスシンポジウム, P-004 (仙台, 2004/05/14).
  114. 星野恭之,野田周一,尾崎卓哉,寒川誠二, F2ガスを用いたパルス変調プラズマと中性粒子ビームの検討, 2004年秋季 第65回応用物理学会学術講演会, 1a-ZH-4 (仙台, 2004/09/01).
  115. 野田周一,星野恭之,尾崎卓哉,寒川誠二, F2ガスによる中性粒子ビームの生成とPoly-Siエッチングの検討, 2004年秋季 第65回応用物理学会学術講演会, 1a-ZH-5 (仙台, 2004/09/01).
  116. 鈴木裕也,新村 忠,北村彰規,寒川誠二, 高アスペクト比コンタクトホールエッチング時における形状異常のメカニズム解明, 2004年秋季 第65回応用物理学会学術講演会, 1p-ZH-2 (仙台, 2004/09/01).
  117. 加藤裕司,石川 寧,沖川 満,寒川誠二, オンウエハモニタリングを用いた紫外光照射損傷の測定 (1) = 紫外光照射損傷の絶縁膜膜厚依存性 =, 2004年秋季 第65回応用物理学会学術講演会, 1p-ZH-5 (仙台, 2004/09/01).
  118. 石川 寧,加藤裕司,沖川 満,寒川誠二, オンウエハモニタリングを用いた紫外光照射損傷の測定 (2) = 混合ガスの効果 =, 2004年秋季 第65回応用物理学会学術講演会, 1p-ZH-6 (仙台, 2004/09/01).
  119. 熊谷慎也,寒川誠二, ポリイミド有機材料のエッチング加工特性, 2004年秋季 第65回応用物理学会学術講演会, 1p-ZH-10 (仙台, 2004/09/01).
  120. 馬場智大,久保田智広,浦岡行治,冬木 隆,山下一郎,寒川誠二, フェリチンコアを用いたナノ構造の作製(3) - 鉄コアのエッチング耐性 -, 2004年秋季 第65回応用物理学会学術講演会, 2p-ZT-1 (仙台, 2004/09/02).
  121. 久保田智広,馬場智大,山崎 聡,寒川誠二, 中性粒子ビームエッチングにより作製したナノカラムの欠陥評価, 2004年秋季 第65回応用物理学会学術講演会, 2p-ZT-2 (仙台, 2004/09/02).
  122. 石川 寧,石田敬雄,寒川誠二, 中性粒子ビームを用いたターフェニル自己組織化単分子膜の表面改質, 2004年秋季 第65回応用物理学会学術講演会, 2p-ZT-4 (仙台, 2004/09/02).
  123. 石川健治,山崎雄一,山崎 聡,尾崎卓哉,野田周一,石川 寧,寒川誠二, 真空搬送電子スピン共鳴法によるプラズマプロセス後のLow-k膜の評価, 2004年秋季 第65回応用物理学会学術講演会, 2a-G-2 (仙台, 2004/09/02).
  124. 熊谷慎也,安藤厚博,寒川誠二, パルス時間変調塩素プラズマによるMRAM材料エッチング, 2004年秋季 第65回応用物理学会学術講演会, 2a-G-6 (仙台, 2004/09/02).
  125. 小松正二郎,倉嶋敬次,守吉佑介,清水禎樹,岡田勝行,白谷正治,中野俊樹,寒川誠二,三友 護, 紫外パルスレーザー・変調プラズマ同期プロセスによるsp3-結合性5H-BNの合成と新機能, 2004年秋季 第65回応用物理学会学術講演会, 2p-N-2 (仙台, 2004/09/02).
  126. 田口智啓,福田誠一,野田周一,寒川誠二, プラズマ窒化プロセスにおける紫外光照射損傷の抑制, 2004年秋季 第65回応用物理学会学術講演会, 4a-C-9 (仙台, 2004/09/04).
  127. 遠藤 明,福田誠一,宮本 明,寒川誠二, SiON膜形成過程のダイナミクスに関する計算化学的検討, 2004年秋季 第65回応用物理学会学術講演会, 4p-C-2 (仙台, 2004/09/04).
  128. (招待講演) 寒川誠二, 新しいビームを用いたトップダウン加工=究極のトップダウン加工を目指して=, ナノテクシンポジウム, (滋賀, 2005).
  129. (招待講演) 寒川誠二, 中性粒子ビームを用いた究極のトップダウン加工, 応用物理学会九州支部特別講演会, (福岡, 2005).
  130. (招待講演) 寒川誠二, 高精度プラズマプロセスのためのオンウエハーモニタリング, 第22回プラズマプロセシング研究会, (名古屋, 2005/01/26).
  131. 福田 誠一, 田口智啓, 加藤 裕司, 石川 寧, 野田 周一, 寒川 誠二, パルス時間変調窒素プラズマによる酸窒化膜の形成について, 特別研究会 「極薄シリコン酸化膜の形成・評価・信頼性」第10回研究会, pp.23-27 (, 2005/01/28).
  132. 久保田智広, 馬場智大, 浦岡行治, 冬木隆, 山下一郎, 寒川誠二, フェリチンコアを用いたナノ構造の作製(4) ― 量子ドット構造の作製 ―, 2005年春季 第52回応用物理学関係連合講演会, 29a-YL-9 (さいたま, 2005/03/29).
  133. 石川健治, 山崎雄一, 山崎聡, 野田周一, 石川寧, 寒川誠二, エッチング・プラズマ暴露試料の真空搬送電子スピン共鳴(In-vacuo ESR)法による観察4, 2005年春季 第52回応用物理学関係連合講演会, 29a-ZE-12 (さいたま, 2005/03/29).
  134. 野田周一, 星野恭之, 尾崎卓哉, 寒川誠二, F2パルス変調プラズマによるSiディープエッチングの検討, 2005年春季 第52回応用物理学関係連合講演会, 29p-ZE-5 (さいたま, 2005/03/29).
  135. 田口智啓, 福田誠一, 野田周一, 加藤裕司, 石川寧, 寒川誠二, プラズマ窒化プロセスにおける紫外光照射損傷の抑制 (2), 2005年春季 第52回応用物理学関係連合講演会, 29p-ZB-2 (さいたま, 2005/03/29).
  136. 遠藤明, 宮本明, 寒川誠二, 中性粒子ビーム法によるSiO2薄膜の窒化過程:計算化学的検討, 2005年春季 第52回応用物理学関係連合講演会, 29a-ZC-11 (さいたま, 2005/03/29).
  137. 加藤裕司, 石川寧, 沖川満, 寒川誠二, オンウエハモニタリングを用いた紫外光照射損傷の測定 (3) =プラズマ照射条件と紫外光照射損傷=, 2005年春季 第52回応用物理学関係連合講演会, 30a-G-9 (さいたま, 2005/03/30).
  138. 石川寧, 石田敬雄, 寒川誠二, 中性粒子ビームを用いたターフェニル自己組織化膜の表面改質 (2) = パルス変調ビームを用いた表面窒化, 2005年春季 第52回応用物理学関係連合講演会, 31p-YL-13 (さいたま, 2005/03/31).
  139. 遠藤和彦,野田周一,昌原明植,柳 永〓,石井賢一,高島秀則,杉俣悦郎,松川 貴,山内洋美,鈴木英一,尾崎卓哉,寒川誠二, ニュートラルビーム・エッチングを用いたFinFETの作成, 2005年春季 第52回応用物理学関係連合講演会, 31a-P5-35 (さいたま, 2005/03/31).
  140. 石川 寧,石田敬雄,寒川誠二, 中性粒子ビームを用いたターフェニル自己組織化膜の表面改質 (3), 2005秋季 第66回応用物理学会学術講演会, 7a-C-3 (徳島, 2005/09/07).
  141. 奥村啓樹,石川 寧,石田敬雄,寒川誠二, オクタンチオール自己組織化膜への中性粒子ビーム照射効果, 2005秋季 第66回応用物理学会学術講演会, 7a-C-4 (徳島, 2005/09/07).
  142. 市橋由成,石川 寧,加藤裕司,清水 竜,沖川 満,寒川誠二, パルス時間変調プラズマエッチングによる固体撮像素子ノイズの低減効果, 2005秋季 第66回応用物理学会学術講演会, 7p-T-1 (徳島, 2005/09/07).
  143. 加藤裕司,石川 寧,沖川 満,市橋由成,寒川誠二, オンウエハモニタリングを用いた紫外光照射損傷の測定(4), 2005秋季 第66回応用物理学会学術講演会, 7p-T-2 (徳島, 2005/09/07).
  144. 陳 建光,久保田智広,浦岡行治,冬木 隆,山下一郎,寒川誠二, フェリチン鉄コアと中性粒子ビームを用いた細いナノカラムの作製, 2005秋季 第66回応用物理学会学術講演会, 7p-C-7 (徳島, 2005/09/07).
  145. 久保田智広,陳 建光,山崎 聡,浦岡行治,冬木 隆,山下一郎,寒川誠二, フェリチン鉄コアと中性粒子ビームを用いて作製したナノ構造の結晶欠陥評価, 2005秋季 第66回応用物理学会学術講演会, 7p-C-8 (徳島, 2005/09/07).
  146. 尾崎卓哉,岡田繁信,久保田智広,寒川誠二, 中性粒子ビームを用いた電子セラミックス材料の平坦化, 2005秋季 第66回応用物理学会学術講演会, 7p-T-6 (徳島, 2005/09/07).
  147. 鍜治昂男,古閑一憲,白谷正治,竹中弘祐,久保田智広,寒川誠二, 銅のプラズマ異方性CVDにおける成膜速度の基板温度依存性, 2005秋季 第66回応用物理学会学術講演会, 7p-ZH-3 (徳島, 2005/09/07).
  148. 山崎雄一,石川健治,寒川誠二,水落憲和,李 成奇,牧野俊晴,山崎 聡, 低エネルギー酸素プラズマ処理によるダイヤモンド損傷の評価, 2005秋季 第66回応用物理学会学術講演会, 7a-P1-48 (徳島, 2005/09/07).
  149. 星野恭之,野田周一,尾崎卓哉,生駒 亨,陣内佛霖,寒川誠二, F2パルス変調プラズマによるSiディープエッチングの検討(II), 2005秋季 第66回応用物理学会学術講演会, 8a-N-2 (徳島, 2005/09/08).
  150. 田口智啓,福田誠一,野田周一,寒川誠二, パルス時間変調O2中性粒子ビームを用いた極薄酸化膜の形成 (2), 2005秋季 第66回応用物理学会学術講演会, 8a-ZK-4 (徳島, 2005/09/08).
  151. 野田周一,尾崎卓哉,寒川誠二, 中性粒子ビームエッチング装置を用いたHfSiON MOSのゲート電極加工, 2005秋季 第66回応用物理学会学術講演会, 8a-N-10 (徳島, 2005/09/08).
  152. (招待講演) 寒川誠二, プラズマエッチングの最前線とナノ加工, 第22回「センサ・マイクロマシンと応用システム」シンポジウム, (東京, 2005/10/20).
  153. 田口 智啓, 生駒 亨, 福田 誠一, 遠藤 和彦, 渡部 平司, 寒川 誠二, 酸素中性粒子ビームを用いた極薄酸化膜の形成, ゲートスタック研究会, pp.157-160 (三島, 2006/02/03).
  154. 向井 智徳,波田 博光,田原 修一,與田 博明,寒川 誠二, タイムモジュレーションプラズマを用いた高精度MTJエッチング, 2006年春季 第53回応用物理学関係連合講演会, 22a-ZL-2 (東京, 2006/03/22).
  155. 市橋由成、石川寧、加藤裕司、清水竜、沖川満、寒川誠二, パルス時間変調プラズマエッチングによる固体撮像素子ノイズの低減効果2, 2006年春季 第53回応用物理学関係連合講演会, 22a-ZL-3 (東京, 2006/03/22).
  156. 加藤裕司、陣内佛霖、石川寧、橋本潤、小西衛、折田敏幸、市橋由成、西谷明人、門村新吾、寒川誠二, オンウエハモニタリングを用いた紫外光照射損傷の測定 (5) =low-k膜の紫外光照射損傷=, 2006年春季 第53回応用物理学関係連合講演会, 22a-ZL-4 (東京, 2006/03/22).
  157. 陣内 佛霖,折田 敏幸,小西 衛,橋本 潤,寒川 誠二, オンウェハモニタリングセンサを用いたチャージングダメージの評価, 2006年春季 第53回応用物理学関係連合講演会, 22a-ZL-5 (東京, 2006/03/22).
  158. 石川健治、山崎雄一、山崎聡、森本幸裕、陣内佛霖、石川寧、浜口智志、寒川誠二, エッチング・プラズマ曝露試料の真空搬送電子スピン共鳴(in-vacuo ESR)法による観察5, 2006年春季 第53回応用物理学関係連合講演会, 22a-ZL-6 (東京, 2006/03/22).
  159. 石川寧、上殿明良、山崎聡、寒川誠二, プラズマプロセスにおける酸化膜中の紫外線誘起結晶欠陥の評価, 2006年春季 第53回応用物理学関係連合講演会, 22a-ZL-7 (東京, 2006/03/22).
  160. 星野恭之、尾崎卓哉、寒川誠二, F2パルス変調プラズマによるSiディープエッチングの検討(III), 2006年春季 第53回応用物理学関係連合講演会, 22a-ZL-8 (東京, 2006/03/22).
  161. (招待講演) 寒川誠二, 中性粒子ビームによる究極のトップダウン加工=ダメージフリープロセスを目指して=(30分), 2006年春季 第53回応用物理学関係連合講演会, 22a-ZL-9 (東京, 2006/03/22).
  162. 齋藤卓, 久保田智広, 松井拓郎, 浦岡行治, 冬木隆, 山下一郎, 寒川誠二, 高密度フェリチン鉄コアを用いたナノ構造の作製, 2006年春季 第53回応用物理学関係連合講演会, 23a-I-2 (東京, 2006/03/23).
  163. 橋本剛,久保田智広,浦岡行治,冬木隆,山下一郎,寒川誠二, 中性粒子ビームによる高精度・量子ナノディスク構造の製作, 2006年春季 第53回応用物理学関係連合講演会, 23a-I-3 (東京, 2006/03/23).
  164. (招待講演) 寒川誠二、山下一郎, 電子デバイスを目指したバイオテクノロジーとナノテクノロジーの融合 (イントロダクトリートーク)=融合手法と今後の展開=(5分), 2006年春季 第53回応用物理学関係連合講演会, 23p-ZG-1 (東京, 2006/03/23).
  165. (招待講演) 寒川誠二, 究極のトップダウンプロセスによるバイオテクノロジーとナノテクノロジーの融合」=損傷フリー中性粒子ビームプロセスの展開=(35分), 2006年春季 第53回応用物理学関係連合講演会, 23p-ZG-3 (東京, 2006/03/23).
  166. 田口智啓、生駒亨、遠藤和彦、渡部平司、福田誠一、寒川誠二, パルス時間変調O2中性粒子ビームを用いた極薄酸化膜の形成(3), 2006年春季 第53回応用物理学関係連合講演会, 24a-V-6 (東京, 2006/03/24).
  167. 遠藤和彦,野田周一,昌原明植,尾崎卓哉,久保田智広,寒川誠二,柳 永〓,石井賢一,石川由紀,杉俣悦郎,松川 貴,高嶋秀則,山内洋美,鈴木英一, ニュートラルビーム・エッチングを用いた縦型ダブルゲートMOSFETの作製と評価, 2006年春季 第53回応用物理学関係連合講演会, 25p-X-4 (東京, 2006/03/25).
  168. 奥村 啓樹、佐藤 義倫、田路 和幸、寒川 誠二, カーボンナノチューブへの中性粒子ビーム照射効果, 2006年春季 第53回応用物理学関係連合講演会, 26a-ZQ-6 (東京, 2006/03/26).
  169. 向井 智徳 ,陣内 佛霖 ,寒川 誠二, タイムモジュレーションプラズマ中の塩素負イオン計測, 2006年秋季 第67回応用物理学会学術講演会, 30a-S-1 (草津, 2006/08/30).
  170. 石川寧1、上殿明良2、山崎聡3, 寒川誠二, SiO2膜におけるプラズマ誘起欠陥 (E’ center) の熱回復効果に関する評価, 2006年秋季 第67回応用物理学会学術講演会, 30a-S-3 (草津, 2006/08/30).
  171. 星野恭之、尾崎卓哉、寒川誠二, F2パルス変調プラズマによるSiディープエッチングの検討 (Ⅳ), 2006年秋季 第67回応用物理学会学術講演会, 30a-S-8 (草津, 2006/08/30).
  172. 陣内 佛霖, 寒川 誠二, 中性粒子ビームによる低誘電率絶縁膜加工プロセスの検討, 2006年秋季 第67回応用物理学会学術講演会, 30a-S-9 (草津, 2006/08/30).
  173. 市橋由成、石川寧、清水竜、水原秀樹、沖川満、寒川誠二, CF3Iガスプラズマを用いたMIS-FETのUV照射損傷低減効果, 2006年秋季 第67回応用物理学会学術講演会, 30p-S-2 (草津, 2006/08/30).
  174. 佐藤充男,鈴木功一, 大竹浩人, 寒川誠二, 高周波磁界センサーによるプラズマ異常放電のリアルタイムモニタリング技術, 2006年秋季 第67回応用物理学会学術講演会, 30p-S-14 (草津, 2006/08/30).
  175. 大竹浩人,石川寧, H. Y. Kim, S. J. Hong, 寒川誠二, オンウェハーモニタリングとニューラルネットワークモデリングの融合によるプラズマダメージ予測システムの構築(I), 2006年秋季 第67回応用物理学会学術講演会, 30p-S-15 (草津, 2006/08/30).
  176. 生駒亨1, 田口智啓1, 福田誠一1, 遠藤和彦2, 渡部平司3, 寒川誠二, 中性粒子ビーム酸化を用いた低温プロセスでの低リーク電流極薄SiO2膜の形成, 2006年秋季 第67回応用物理学会学術講演会, 31p-P12-30 (草津, 2006/08/31).
  177. (招待講演) 寒川誠二、大竹浩人、門村新吾、市橋由成、西谷明人、橋本潤, 高精度プラズマプロセスのためのオンウエハーモニタリング=インテリジェントナノプロセスを目指して=, 2006年秋季 第67回応用物理学会学術講演会, 31p-ZW-5 (草津, 2006/08/31).
  178. 齋藤卓, 久保田智広, 熊谷慎也, 吉井重雄, 山下一郎, 寒川誠二, 単一フェリチン選択配置を用いたナノ構造の作製, 2006年秋季 第67回応用物理学会学術講演会, 31p-RB-5 (草津, 2006/08/31).
  179. 橋本剛,久保田智広,石川寧,竹口雅樹,田中亮大,三浦篤志,浦岡行治,冬木隆,山下一郎,寒川誠二, 中性粒子ビームによるシリコン量子ナノディスク構造の作製と解析, 2006年秋季 第67回応用物理学会学術講演会, 31p-RB-6 (草津, 2006/08/31).
  180. 奥村 啓樹、佐藤 義倫、石田 真彦、二瓶 史行、田路 和幸、寒川 誠二, カーボンナノチューブへの中性粒子ビーム照射効果(2)=電気特性への影響=, 2006年秋季 第67回応用物理学会学術講演会, 1a-D-3 (草津, 2006/09/01).
  181. 宇惠野章、屋良卓也、寒川誠二, オンウェハモニタリングを用いた大気圧プラズマにおける紫外光測定, 2006年秋季 第67回応用物理学会学術講演会, 1a-S-3 (草津, 2006/09/01).
  182. (招待講演) 寒川誠二, 中性粒子ビーム加工による無損傷・量子ナノディスク構造の作製, 文部科学省ナノテクノロジー総合支援プロジェクト成果発表会, (東京, 2007).
  183. (招待講演) 寒川誠二, パルス時間変調プラズマによる損傷フリー微細・磁性膜エッチング技術, 日本応用磁気学会154回研究会, (東京, 2007).
  184. (招待講演) 寒川誠二, 究極のトップダウン加工が拓く先端ナノデバイス, 産総研-東北大包括協定記念講演会, (つくば, 2007).
  185. 石川寧、市橋由成、上殿明良、山崎聡、寒川誠二, SiO2膜におけるプラズマ誘起欠陥の生成および抑制・回復に関する検討, 2007年春季 第54回応用物理学関係連合講演会, 27p-H-10 (相模原, 2007/03/27).
  186. 陣内佛霖,寒川誠二, 中性粒子ビームによる低誘電率絶縁膜加工プロセスの検討(2), 2007年春季 第54回応用物理学関係連合講演会, 27p-H-11 (相模原, 2007/03/27).
  187. 向井 智徳1,2,陣内 佛霖1,福本 能之2,大嶋 則和2,波田 博光2,寒川 誠二1, トンネル磁気抵抗素子(MTJ)に対する プラズマ照射ダメージの検討, 2007年春季 第54回応用物理学関係連合講演会, 27p-H-12 (相模原, 2007/03/27).
  188. 大竹浩人、石川寧、市橋由成、寒川誠二, オンウエハモニタリングとニューラルネットワークモデリングの融合によるプラズマダメージ予測システムの構築(II), 2007年春季 第54回応用物理学関係連合講演会, 27p-H-13 (相模原, 2007/03/27).
  189. (招待講演) 寒川誠二, プラズマプロセスにおける放射紫外線の功罪 ?有機ナノ材料プロセスに向けて?, 2007年春季 第54回応用物理学関係連合講演会, 28p-ZC-4 (相模原, 2007/03/28).
  190. 齋藤卓, 米元雅浩, 相良暁彦, 宮本聡, 澤野憲太郎, 伊藤公平, 白木靖寛,寒川誠二, 無損傷中性粒子ビーム加工を用いたSiGe量子ナノディスク積層構造の作製, 2007年春季 第54回応用物理学関係連合講演会, 29a-T-2 (相模原, 2007/03/29).
  191. 生駒亨, 福田誠一, 三浦英生、遠藤和彦, 渡部平司, 江利口浩二, 寒川誠二, 中性粒子ビーム酸化を用いた低温プロセスでの低リーク電流極薄SiO2膜の形成 (2), 2007年春季 第54回応用物理学関係連合講演会, 29a-ZH-12 (相模原, 2007/03/29).
  192. 宇惠野 章、屋良 卓也、石川 寧、寒川 誠二, オンウェハモニタリングを用いた大気圧プラズマにおける紫外光測定(2), 2007年春季 第54回応用物理学関係連合講演会, 29p-G-12 (相模原, 2007/03/29).
  193. 久保田智広,浦岡行治,冬木隆,竹口雅樹,西岡賢祐,山下一郎,寒川誠二, 中性粒子ビームによって作製したシリコンナノディスク構造の電気特性評価, 2007年春季 第54回応用物理学関係連合講演会, 29p-SB-17 (相模原, 2007/03/29).
  194. 橋本剛,久保田智広,石川寧,竹口雅樹,浦岡行治,冬木隆,山下一郎,寒川誠二, NF3+H2ラジカル処理によるシリコン量子ナノディスクの形状制御, 2007年春季 第54回応用物理学関係連合講演会, 29p-SB-18 (相模原, 2007/03/29).
  195. 奥村 啓樹、和田 章良、佐藤 義倫、石田 真彦、二瓶 史行、田路 和幸、寒川 誠二, カーボンナノチューブへの中性粒子ビーム照射効果(3)=トランジスタ特性への影響=, 2007年春季 第54回応用物理学関係連合講演会, 30a-ZR-9 (相模原, 2007/03/30).
  196. 佐藤 大希、宇惠野 章、屋良 卓也、宮本 栄司、寒川 誠二, 大気圧プラズマプロセスにおける紫外線の影響, 2007年秋季 第68回応用物理学会学術講演会, 4p-ZB-14 (札幌, 2007/09/04).
  197. 生駒亨、寒川誠二, 中性粒子ビームを用いたALDによるHfO2/SiO2/Siスタック構造の選択酸化, 2007年秋季 第68回応用物理学会学術講演会, 5a-ZQ-6 (札幌, 2007/09/05).
  198. 久保田智広,黄啓賢,竹口雅樹,西岡賢祐,浦岡行治,冬木隆,山下一郎,寒川誠二, 中性粒子ビームによって作製したシリコンナノディスクの量子ドット特性評価, 2007年秋季 第68回応用物理学会学術講演会, 5p-P8-10 (札幌, 2007/09/05).
  199. 大竹浩人,石川寧, 市橋由成, 橋本潤、倉知郁生、寒川誠二, オンウェハモニタリングとニューラルネットワークの融合による紫外光スペクトル予測システム, 2007年秋季 第68回応用物理学会学術講演会, 6a-ZA-10 (札幌, 2007/09/06).
  200. 石川寧、河田進二、橋本潤、倉知郁生、大竹浩人、寒川誠二, オンウエハモニタリングを用いた紫外線照射損傷の予測と制御 (6), 2007年秋季 第68回応用物理学会学術講演会, 6a-ZA-11 (札幌, 2007/09/06).
  201. 石川寧、市橋由成、山崎聡, 寒川誠二, SiO2/Si 界面におけるプラズマ誘起界面準位の生成および抑制に関する検討, 2007年秋季 第68回応用物理学会学術講演会, 6a-ZA-12 (札幌, 2007/09/06).
  202. 市橋由成、石川寧、清水竜、水原秀樹、寒川誠二, C-F系ガスプラズマによる絶縁膜エッチングにおける界面準位生成機構の検討, 2007年秋季 第68回応用物理学会学術講演会, 6a-ZA-13 (札幌, 2007/09/06).
  203. 陣内佛霖,寒川誠二, 中性粒子ビームによる低誘電率絶縁膜加工プロセスの検討(3), 2007年秋季 第68回応用物理学会学術講演会, 6a-ZA-18 (札幌, 2007/09/06).
  204. 陣内佛霖,小田史彦,森本幸裕,寒川誠二, 塩素ビームSiエッチングの表面反応における紫外光の影響, 2007年秋季 第68回応用物理学会学術講演会, 6a-ZA-19 (札幌, 2007/09/06).
  205. 橋本剛,久保田智広,竹口雅樹,西岡賢祐,浦岡行治,冬木隆,山下一郎,寒川誠二, NF3+水素ラジカル処理によるシリコン量子ナノディスクの直径制御(2) =酸化膜厚依存性=, 2007年秋季 第68回応用物理学会学術講演会, 6p-P12-8 (札幌, 2007/09/06).
  206. 米元雅浩, 宮本聡, 平野梨伊, 澤野憲太郎, 伊藤公平, 白木靖寛,寒川誠二, 無損傷中性粒子ビーム加工を用いたSiGe量子ナノディスク積層構造の作製2, 2007年秋季 第68回応用物理学会学術講演会, 6a-N-21 (札幌, 2007/09/06).
  207. 辰巳知彦,河田進二,小西 衛,橋本 潤,倉知郁生,石川 寧,寒川誠二, オンウェハモニタリングセンサを用いたプラズマエッチング中のチャージアップ現象の観察, 2007年秋季 第68回応用物理学会学術講演会, 6a-ZA-8 (札幌, 2007/09/06).
  208. 河田進二,八田谷洋一,橋本 潤,倉知郁生,石川 寧,寒川誠二, オンウエハモニタリングセンサを用いたコンタクトホールエッチングにおけるデポジション膜の導電性評価, 2007年秋季 第68回応用物理学会学術講演会, 6a-ZA-9 (札幌, 2007/09/06).
  209. 和田 章良、佐藤 義倫、石田 真彦、二瓶 史行、田路 和幸、寒川 誠二, カーボンナノチューブへの中性粒子ビーム照射効果(4), 2007年秋季 第68回応用物理学会学術講演会, 7a-T-9 (札幌, 2007/09/07).
  210. 佐藤愛子、星野恭之、尾崎卓哉、近藤道雄、寒川誠二, F2パルス変調プラズマによるCVDチャンバクリーニング技術の検討, 2007年秋季 第68回応用物理学会学術講演会, 8a-ZV-7 (札幌, 2007/09/08).
  211. 佐藤充男、鈴木功一、寒川誠二, 異常放電モニタリング技術とRF電力停止機能を融合した異常放電抑制システム, 2007年秋季 第68回応用物理学会学術講演会, 8p-ZD-5 (札幌, 2007/09/08).
  212. 大竹 浩人、石川 寧、橋本 潤、倉知 郁生、寒川誠二, オンウェハモニタリングとイオン軌道シミュレーションの融合によるコンタクト孔中イオン速度分布予測, 2008年春季 第55回応用物理学関係連合講演会, 27a-T-6 (船橋, 2008/03/27).
  213. 和田 章良、佐藤 義倫、石田 真彦、二瓶 史行、田路 和幸、寒川 誠二, カーボンナノチューブへの中性粒子ビーム照射効果(5), 2008年春季 第55回応用物理学関係連合講演会, 27p-J-6 (船橋, 2008/03/27).
  214. 生駒亨 佐野慶佑 小金澤智之 広沢一郎 寒川誠二, 中性粒子ビームALD 法により作製した HfO2 膜の構造解析と電気特性評価, 2008年春季 第55回応用物理学関係連合講演会, 28a-H-6 (船橋, 2008/03/28).
  215. 石川寧、市橋由成、山崎聡、寒川誠二, SiO2/Si 界面におけるプラズマ誘起界面準位の生成および抑制に関する検討 (2), 2008年春季 第55回応用物理学関係連合講演会, 28a-S-9 (船橋, 2008/03/28).
  216. 佐藤 大希、宇惠野 章、屋良 卓也、宮本 栄司、浦岡 行治、寒川 誠二, 大気圧プラズマ照射によるTFT電気特性劣化メカニズムの解析, 2008年春季 第55回応用物理学関係連合講演会, 28a-T-14 (船橋, 2008/03/28).
  217. 小山 紘司、陣内 佛霖、寒川誠二, プラズマエッチングにおけるArFレジストに対する紫外線照射効果, 2008年春季 第55回応用物理学関係連合講演会, 28p-S-7 (船橋, 2008/03/28).
  218. 黄啓賢、久保田智広、橋本剛、五十嵐誠、竹口雅樹、西岡賢祐、浦岡行治,冬木隆,山下一郎,寒川誠二, Quantum effect of stacked-nanodisk fabricated by defect-free chlorine neutral beams, 2008年春季 第55回応用物理学関係連合講演会, 28p-ZM-15 (船橋, 2008/03/28).
  219. 橋本剛,黄啓賢, 久保田智広,竹口雅樹,西岡賢祐,松井拓郎, 松川望, 浦岡行治,冬木隆,山下一郎,寒川誠二, NF3+水素ラジカル処理および中性粒子ビーム加工を用いた量子ナノディスク2次元配列の作製, 2008年春季 第55回応用物理学関係連合講演会, 28p-ZM-16 (船橋, 2008/03/28).
  220. 米元雅浩、寒川誠二, 中性粒子ビームエッチングを用いたサブ-10nm垂直ナノカラム構造の作製, 2008年春季 第55回応用物理学関係連合講演会, 28p-ZM-17 (船橋, 2008/03/28).
  221. (招待講演) 寒川誠二, バイオテンプレート極限加工による量子構造の実現, 2008年春季 第55回応用物理学関係連合講演会, 29p-N-9 (船橋, 2008/03/29).
  222. 裴 志哲,Murugesan Mariappan,ChengKuan Yin,福島誉史,田中 徹,寒川誠二,河野省三,佐道泰造,宮尾正信,名取研二,小柳光正, FM/I/Nano-Dot FM構造でのスピン電子の磁気トンネル効果, 2008年春季 第55回応用物理学関係連合講演会, 30a-F-4 (船橋, 2008/03/30).
  223. Mariappan Murugesan,JiChel Bea,ChengKaun Yin,福島誉史,田中 徹,寒川誠二,河野省三,宮尾正信,名取研二,小柳光正, FePtを用いた磁気MOSキャパシタのC-V特性, 2008年春季 第55回応用物理学関係連合講演会, 30a-F-5 (船橋, 2008/03/30).
  224. 米元 雅浩,佐野 慶佑,遠藤 和彦, 松川 貴, 昌原 明植, 寒川 誠二, 3次元構造トランジスタのための無損傷中性粒子ビーム酸化による異方性酸化, 2008年秋季 第69回応用物理学会学術講演会, 2a-Y-8 (春日井, 2008/09/02).
  225. 和田 章良、佐藤 義倫、鈴木 哲、小林 慶裕、寒川 誠二, 中性粒子ビーム照射によるカーボンナノチューブ欠陥生成の構造依存性, 2008年秋季 第69回応用物理学会学術講演会, 3a-ZS-6 (春日井, 2008/09/03).
  226. 小山 紘司、陣内 佛霖、寒川誠二, プラズマエッチングにおけるArFレジストに対する紫外線照射効果(2), 2008年秋季 第69回応用物理学会学術講演会, 3a-ZC-6 (春日井, 2008/09/03).
  227. 五十嵐誠,Michel Wone, 黄啓賢,竹口雅樹,西岡賢祐,堀田將,浦岡行治,冬木隆,山下一郎,寒川誠二, 2次元配列量子ナノディスクを用いたデバイスの作製とその電気特性評価, 2008年秋季 第69回応用物理学会学術講演会, 3p-H-4 (春日井, 2008/09/03).
  228. Michel Wone, 五十嵐誠, 黄啓賢, 竹口雅樹, 西岡賢祐, 堀田將,浦岡行治, 冬木隆, 山下一郎, 寒川誠二, フェリチン2次元配列の基板表面酸化膜依存性, 2008年秋季 第69回応用物理学会学術講演会, 3p-H-5 (春日井, 2008/09/03).
  229. 黄 啓賢,五十嵐誠,竹口雅樹,堀田 將,浦岡行治,冬木 隆,山下一郎,寒川誠二, Control of Quantum effect for stacked-nanodisk for realistic quantum effect devices, 2008年秋季 第69回応用物理学会学術講演会, 3p-H-6 (春日井, 2008/09/03).
  230. (招待講演) 寒川誠二, パルス時間変調プラズマによる超低損傷微細加工プロセス~LSIプロセスからバイオとナノの融合プロセスに向けて~, 2008年秋季 第69回応用物理学会学術講演会, 3p-M-8 (春日井, 2008/09/03).
  231. 佐藤 大希、屋良 卓也、宮本 栄司、浦岡行治、寒川 誠二, 大気圧プラズマ照射によるTFT電気特性劣化メカニズムの解析(2), 2008年秋季 第69回応用物理学会学術講演会, 4a-Y-5 (春日井, 2008/09/04).
  232. 鄭柱賢、安原重雄、田島邦敏、矢野尚、門村新吾、吉丸正樹、松永範昭、久保田智広、大竹浩人、寒川誠二, 中性粒子ビームCVD(NBECVD)による超低誘電率SiOC膜(k=2.2), 2008年秋季 第69回応用物理学会学術講演会, 4a-CD-6 (春日井, 2008/09/04).
  233. 大竹 浩人、石川 寧、橋本 潤、倉知 郁生、寒川誠二, オンウェハモニタリングとイオン軌道シミュレーションの融合によるコンタクト孔中イオン速度分布予測, 2008年秋季 第69回応用物理学会学術講演会, 4a-A-20 (春日井, 2008/09/04).
  234. (招待講演) 寒川誠二,陣内佛霖,小田史彦,森本幸裕, 「JJAP論文賞受賞記念講演」(30分)プラズマエッチングプロセスにおける放射紫外光の影響とその重要性, 2008年秋季 第69回応用物理学会学術講演会, 4p-D-1 (春日井, 2008/09/04).
  235. 河田進二,八田谷洋一,橋本 潤,栗山尚也,倉知郁生,大竹浩人,寒川誠二, オンウエハモニタリングセンサを用いたコンタクトホールエッチングにおけるデポジション膜の導電性評価(II), 2008年秋季 第69回応用物理学会学術講演会, 4p-D-15 (春日井, 2008/09/04).
  236. 辰巳知彦、河田進似、橋本潤、栗山尚也、寒川誠二, オンウェハモニタリングセンサを用いたプラズマ中のホール側壁抵抗測定, 2009年春季 第56回応用物理学関係連合講演会, 30p-ZX-9 (つくば, 2009/03/30).
  237. 大竹 浩人、陣内 佛霖、、辰巳 知彦、河田 進二、橋本潤、寒川誠二, オンウエハセンサによる実測値を用いたエッチング形状予測, 2009年春季 第56回応用物理学関係連合講演会, 30p-ZX-10 (つくば, 2009/03/30).
  238. 米元 雅浩、佐野 慶佑、遠藤 和彦、松川 貴、昌原 明植、寒川 誠二, 無損傷中性粒子ビーム酸化(NBO)プロセスを用いたFinFET 作製, 2009年春季 第56回応用物理学関係連合講演会, 30p-S-12 (つくば, 2009/03/30).
  239. 陣内 佛霖、大竹 浩人、寒川 誠二, On-wafer UV sensorによるプラズマプロセス中の紫外光照射量測定, 2009年春季 第56回応用物理学関係連合講演会, 30p-ZX-11 (つくば, 2009/03/30).
  240. 和田 章良、佐藤 義倫、鈴木 哲、石田 真彦、二瓶 史行、小林 慶裕、田路 和幸、寒川 誠二, 中性粒子ビーム照射によるカーボンナノチューブ欠陥生成機構と電気特性, 2009年春季 第56回応用物理学関係連合講演会, 30p-TA-15 (つくば, 2009/03/30).
  241. 鄭 柱賢、安原 重雄、田島 邦敏、矢野 尚、門村 新吾、吉丸 正樹、松永 範昭、寒川 誠二, 中性粒子ビームCVD(NBECVD)による超低誘電率SiOC膜の形成と構造, 2009年春季 第56回応用物理学関係連合講演会, 31a-ZG-2 (つくば, 2009/03/31).
  242. 安原 重雄、鄭 柱賢、田島 邦敏、矢野 尚、門村 新吾、吉丸 正樹、松永 範昭、寒川 誠二, SiOC Low-k膜におけるプラズマ耐性の構造依存性, 2009年春季 第56回応用物理学関係連合講演会, 31a-ZG-4 (つくば, 2009/03/31).
  243. 五十嵐 誠、黄 啓賢、戸村 幕樹、竹口 雅樹、西岡 賢祐、堀田 將、浦岡 行治、冬木 隆、山下 一郎、森江 隆、寒川 誠二, バイオテンプレート極限加工による2 次元量子ナノディスクアレイの作製と電気特性, 2009年春季 第56回応用物理学関係連合講演会, 31a-F-10 (つくば, 2009/03/31).
  244. 戸村 幕樹、黄 啓賢、五十嵐 誠、浦岡 行治、冬木 隆、山下 一郎、寒川 誠二, リステリアフェリチンをテンプレートとした中性粒子ビーム加工によるシリコンナノ構造作製, 2009年春季 第56回応用物理学関係連合講演会, 31a-F-11 (つくば, 2009/03/31).
  245. 黄 啓賢、五十嵐 誠、戸村 幕樹、竹口 雅樹、浦岡 行治、冬木 隆、山下 一郎、寒川 誠二, Diameter control of stacked-nanodisk and its quantum effect, 2009年春季 第56回応用物理学関係連合講演会, 31a-F-12 (つくば, 2009/03/31).
  246. 井上達也、鈴木研、三浦英生、寒川誠二、吉川秀樹、小林啓介, HfO2 絶縁膜と金属電極界面近傍の結晶構造と電子物性に及ぼす点欠陥の影響, 2009年春季 第56回応用物理学関係連合講演会, 1a-ZT-10 (つくば, 2009/04/01).
  247. 平出雅哉、久保田智広、鶴慶彦、八尋正幸、宮崎康次、寒川誠二、安達千波矢, 中性粒子ビーム照射による有機薄膜の凝集状態制御と光学・電気特性, 2009年春季 第56回応用物理学関係連合講演会, 1p-W-10 (つくば, 2009/04/01).
  248. 久保田 智広、額賀 理、植木 真治、杉山 正和、寒川 誠二, 中性粒子ビームの解析(1), 2009年春季 第56回応用物理学関係連合講演会, 1p-ZW-13 (つくば, 2009/04/01).
  249. 渡辺 尚貴、新田 仁、小野 耕平、入江 康郎、額賀 理、植木 真治、久保田 智広、寒川 誠二, 第一原理電子状態計算による中性粒子ビーム生成メカニズムの解析, 2009年春季 第56回応用物理学関係連合講演会, 1p-ZW-14 (つくば, 2009/04/01).
  250. 市橋 由成、石川 寧、清水 竜、寒川 誠二, C-F 系ガスプラズマによる絶縁膜エッチングにおける界面準位生成機構の検討2, 2009年春季 第56回応用物理学関係連合講演会, 2a-ZW-1 (つくば, 2009/04/02).
  251. 小山 紘司、陣内 佛霖、百瀬 陽、加藤 圭輔、安田 敦、寒川 誠二, プラズマエッチングにおけるArF レジストに対する紫外線照射効果(3), 2009年春季 第56回応用物理学関係連合講演会, 2a-ZW-3 (つくば, 2009/04/02).
  252. 額賀 理,山本 敏,久保田智広,杉山正和, 寒川誠二, 合成石英のフェムト秒レーザーアシスト・ドライエッチング(1)=選択性評価=, 2009年秋季 第70回応用物理学会学術講演会, 9a-ZG-26 (富山, 2009/09/09).
  253. 久保田智広,額賀 理,植木真治,杉山正和,寒川誠二, 中性粒子ビームの解析(2)~大口径中性粒子ビーム装置への適用~, 2009年秋季 第70回応用物理学会学術講演会, 9a-ZG-27 (富山, 2009/09/09).
  254. 渡辺尚貴,岩崎拓也,小野耕平,入江康郎,額賀 理,植木真治,久保田智広,寒川誠二, 第一原理電子状態計算による中性粒子ビーム生成メカニズムの解析II, 2009年秋季 第70回応用物理学会学術講演会, 9a-ZG-28 (富山, 2009/09/09).
  255. Chi-Hsien Huang, Makoto Igarashi, Majyu Tomura, Masaki Takeguchi, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa, UV-Vis Spectroscopy of Two-dimensional Array of Silicon nanodisk, 2009年秋季 第70回応用物理学会学術講演会, 8a-ZL-9 (富山, 2009/09/08).
  256. 和田 章良、佐藤 義倫、鈴木 哲、二瓶 史行、田路 和幸、寒川 誠二, 窒素中性粒子ビーム照射による低損傷カーボンナノチューブ表面窒化, 2009年秋季 第70回応用物理学会学術講演会, 9a-ZR-5 (富山, 2009/09/09).
  257. 和田 章良、佐藤 義倫、鈴木 哲、二瓶 史行、田路 和幸、寒川 誠二, 酸素中性粒子ビーム照射による低損傷カーボンナノチューブ表面酸化, 2009年秋季 第70回応用物理学会学術講演会, 9a-ZR-6 (富山, 2009/09/09).
  258. 小山紘司,陣内佛霖,前田晋一,加藤圭輔,安田敦,百瀬陽,寒川誠二, プラズマエッチングにおけるArF レジストのLER 生成及び選択性劣化機構, 2009年秋季 第70回応用物理学会学術講演会, 9a-ZG-23 (富山, 2009/09/09).
  259. 陣内佛霖,福田誠一,大竹浩人,Eric A. Hudson,寒川誠二, オンウェハモニタリングによる絶縁膜エッチングにおける紫外光照射ダメージの予測, 2009年秋季 第70回応用物理学会学術講演会, 9a-ZG-24 (富山, 2009/09/09).
  260. 大竹浩人,福田誠一,陣内佛霖,辰巳知彦,寒川誠二, オンウエハモニタリング技術による異常形状発生予測, 2009年秋季 第70回応用物理学会学術講演会, 9a-ZG-25 (富山, 2009/09/09).
  261. 奥村宏克、陣内佛霖、松永範昭、寒川誠二, オンウェハモニタリングによるPE-CVDプロセスのチャージングダメージ発生メカニズムの解明, 2009年秋季 第70回応用物理学会学術講演会, 10a-N-11 (富山, 2009/09/10).
  262. 戸村幕樹,吉田裕介,黄 啓賢, 小野崇仁,寒川誠二, プラズマ照射損傷によるマイクロカンチレバーの機械特性劣化(1), 2009年秋季 第70回応用物理学会学術講演会, 10a-TG-7 (富山, 2009/09/10).
  263. 佐々木亨、安原重雄、田島邦敏、矢野尚、門村新吾、島山 努、吉丸正樹、松永範昭、寒川誠二, 中性粒子ビームCVDによる超低誘電率SiOC膜の形成と構造制御(2)=積層構造による効果の検討=, 2009年秋季 第70回応用物理学会学術講演会, 10p-TB-8 (富山, 2009/09/10).
  264. 孫 意来,梁 海超,森江 隆,寒川誠二, ナノディスクアレイ構造を用いたスパイキングニューロンデバイスの回路シミュレーション, 2009年秋季 第70回応用物理学会学術講演会, 10p-ZH-9 (富山, 2009/09/10).
  265. 五十嵐誠, 黄啓賢, 戸村幕樹,伊藤公平,村山明宏,寒川誠二, 塩素中性粒子ビームによる低損傷GaAsのエッチング, 2009年秋季 第70回応用物理学会学術講演会, 10p-TH-12 (富山, 2009/09/10).
  266. 佐野 慶佑,和田 章良 ,寒川 誠二, 酸素中性粒子ビーム酸化の酸化メカニズムの解明, 2009年秋季 第70回応用物理学会学術講演会, 11a-TE-7 (富山, 2009/09/11).
  267. 植木真治,今本浩史,久保田智広,杉山正和,寒川誠二,橋口 原,西森勇貴, 半導体への電界の浸みこみを考慮した櫛歯アクチュエータの特性解析, 第26回「センサ・マイクロマシンと応用システム」シンポジウム, A5-4 (東京, 2009/10/16).
  268. 黄啓賢、五十嵐誠、ブディマン・モハマドファイルズビン、王宣又、浦岡行治、大島隆治、岡田至崇、山下一郎、寒川誠二, Optical Characteristics of Two-Dimensional Array of Silicon nanodisk, 2010年春季 第57回応用物理学関係連合講演会, 18a-TM-1 (平塚, 2010/03/18).
  269. 戸村幕樹,黄 啓賢,吉田祐介,小野崇人,寒川誠二, プラズマ照射損傷によるマイクロカンチレバーの機械特性劣化(2), 2010年春季 第57回応用物理学関係連合講演会, 18a-TS-11 (平塚, 2010/03/18).
  270. 小山紘司1,陣内佛霖1,前田晋一2,加藤圭輔2,安田敦2,百瀬陽2,寒川誠二1, プラズマエッチングにおけるArFレジストのLER生成及び選択性劣化機構 (2), 2010年春季 第57回応用物理学関係連合講演会, 18p-ZD-4 (平塚, 2010/03/18).
  271. 奥村宏克、陣内佛霖、松永範昭、寒川誠二, Low-k膜へのハードマスク越しプラズマ照射ダメージに関する研究, 2010年春季 第57回応用物理学関係連合講演会, 18p-ZD-7 (平塚, 2010/03/18).
  272. 陣内佛霖、Eric A. Hudson、寒川誠二, オンウェハモニタリング技術による容量結合型プラズマエッチング装置における真空紫外光照射評価, 2010年春季 第57回応用物理学関係連合講演会, 18p-ZD-8 (平塚, 2010/03/18).
  273. 寒川誠二, バイオテンプレート極限加工による均一・高密度・無欠陥量子ナノ構造の形成と量子デバイスへの応用, 2010年春季 第57回応用物理学関係連合講演会, 18p-ZG-4 (平塚, 2010/03/18).
  274. 額賀 理,山本 敏,久保田智広,杉山正和,寒川誠二, 合成石英のフェムト秒レーザーアシスト・ドライエッチング(2)=ナノ周期構造の選択的エッチング=, 2010年春季 第57回応用物理学関係連合講演会, 18p-ZD-6 (平塚, 2010/03/18).
  275. 久保田智広,額賀 理,植木真治,杉山正和,大竹浩人, 寒川誠二, フッ素中性粒子ビームによるシリコンエッチング, 2010年春季 第57回応用物理学関係連合講演会, 18p-ZD-15 (平塚, 2010/03/18).
  276. 久保田智広,額賀 理,植木真治,杉山正和,寒川誠二, 中性粒子ビームの解析(3)~ビーム角度分布の測定~, 2010年春季 第57回応用物理学関係連合講演会, 18p-ZD-16 (平塚, 2010/03/18).
  277. 渡辺尚貴,大塚晋吾,岩崎拓也,小野耕平,入江康郎,額賀 理,植木真治,久保田智広,杉山正和,寒川誠二, 第一原理電子状態計算による中性粒子ビーム生成メカニズムの解析III, 2010年春季 第57回応用物理学関係連合講演会, 18p-ZD-17 (平塚, 2010/03/18).
  278. 五十嵐誠, 黄啓賢, 王宣又, 堀田將, 浦岡行治, 山下一郎, 寒川誠二, バイオテンプレート極限加工によるSi量子ナノディスク2次元アレイの作製と電気特性 [2], 2010年春季 第57回応用物理学関係連合講演会, 19a-ZF-9 (平塚, 2010/03/19).
  279. 和田 章良、佐野 慶佑、遠藤 和彦、松川 貴、昌原 明植、寒川 誠二, 無損傷中性粒子ビーム酸化(NBO)を用いたFinFETにおける電気特性向上メカニズム, 2010年春季 第57回応用物理学関係連合講演会, 19p-P13-16 (平塚, 2010/03/19).
  280. 佐野 慶佑、和田 章良、遠藤 和彦、松川 貴、昌原 明植、寒川 誠二, 酸素中性粒子ビーム酸化を用いた 3次元構造におけるシリコン酸化膜特性 , 2010年春季 第57回応用物理学関係連合講演会, 19p-P13-17 (平塚, 2010/03/19).
  281. 佐々木亨、安原重雄、田島邦敏、矢野尚 、門村新吾 、島山 努、松永範昭 、吉丸正樹 、寒川誠二, 中性粒子ビームCVDによる超低誘電率SiOC膜の形成と構造制御(3)=積層構造による効果の検討(2)=, 2010年春季 第57回応用物理学関係連合講演会, 20a-D-2 (平塚, 2010/03/20).
  282. 佐々木亨、安原重雄、田島邦敏、矢野尚 、門村新吾 、島山 努、松永範昭 、吉丸正樹 、寒川誠二, 中性粒子ビームCVDによる超低誘電率SiOC膜の形成と構造制御(4)=基板温度とパルス変調ビームの効果= , 2010年春季 第57回応用物理学関係連合講演会, 20a-D-3 (平塚, 2010/03/20).
  283. 額賀理、山本敏、久保田智広、杉山正和、寒川誠二, 合成石英のフェムト秒レーザーアシスト・ドライエッチング=ナノ周期構造の選択的エッチング=, 電気学会センサ・マイクロマシン部門(E部門)総合研究会, MSS-10-006 (東京, 2010/06/17).
  284. 久保田智広、杉山正和、,額賀 理、植木真治、大竹浩人、寒川誠二, 大口径中性粒子ビーム装置の開発とシリコンエッチング, 電気学会センサ・マイクロマシン部門(E部門)総合研究会, MSS-10-007 (東京, 2010/06/17).
  285. 植木真治、西森勇貴、今本 浩史、久保田智広、杉山正和、寒川誠二、橋口 原, 界面準位の影響を考慮した櫛歯アクチュエータの特性解析, 電気学会センサ・マイクロマシン部門(E部門)総合研究会, MSS-10-010 (東京, 2010/06/18).
  286. (招待講演) 寒川誠二, 超低損傷プラズマプロセスへの挑戦, 2010年秋季 第71回応用物理学会学術講演会, 14p-ZC-1 (長崎, 2010/09/14).
  287. Fairuz Mohd Budiman, Chi-Hsien Huang, Xuan-Yu Wang, Toshiyuki Kaizu, Makoto Igarashi, Ryuji Oshima, Yoshitaka Okada, Ichiro Yamashita, and Seiji Samukawa, シリコン(Si)ナノディスク2次元アレイによる高効率光吸収とバンドギャップエネルギー制御の実現, 2010年秋季 第71回応用物理学会学術講演会, 14p-NC-8 (長崎, 2010/09/14).
  288. 五十嵐誠, 黄啓賢, 王宣又, モハマド ファイルズ・ブディマン, 森江隆, 寒川誠二, Si量子ナノディスク2次元アレイ構造の電気特性の構造による制御, 2010年秋季 第71回応用物理学会学術講演会, 14p-NC-7 (長崎, 2010/09/14).
  289. Xuan-Yu Wang, Chi-Hsien Huang, Yuzo Ohno, Mokoto Igarashi, Akihiro Murayama, and Seiji Samukawa, Development of a Defect-Free GaAs/AlGaAs Heterostructure Etching Process by Chlorine and Argon Mix Neutral Beam, 2010年秋季 第71回応用物理学会学術講演会, 14p-NC-1 (長崎, 2010/09/14).
  290. 五十嵐誠, 塚本里加子, 黄啓賢, 王宣又, モハマド・ファイルズ・ブディマン, 山下一郎, 寒川誠二, Si及びGaAs基板表面へのフェリチン2次元配列, 2010年秋季 第71回応用物理学会学術講演会, 15p-E-3 (長崎, 2010/09/15).
  291. 佐々木亨、安原重雄、田島邦敏、矢野尚、門村新吾、島山 努、松永範昭、吉丸正樹、寒川誠二, 中性粒子ビームCVDによる超低誘電率SiOC膜の形成と構造制御 =大口径中性粒子ビームCVDによる検討=, 2010年秋季 第71回応用物理学会学術講演会, 15p-P8-18 (長崎, 2010/09/15).
  292. (招待講演) 黄 啓賢,五十嵐誠,Michel Wone,浦岡行治,冬木 隆,竹口雅樹,山下一郎,寒川誠二, 「優秀論文賞受賞記念講演」(30分) Two-Dimensional Si-Nanodisk Array Fabricated Using Bio-Nano-Process and Neutral Beam Etching for Realistic Quantum Effect Devices, 2010年秋季 第71回応用物理学会学術講演会, 15a-A-1 (長崎, 2010/09/15).
  293. 荒木良亮、奥村宏克、陣内佛霖、松永範昭、寒川誠二, オンウェハモニタリングによるPE-CVDプロセスにおけるチャージングダメージの発生メカニズム解明とそのリアルタイム評価, 2010年秋季 第71回応用物理学会学術講演会, 16a-ZF-5 (長崎, 2010/09/16).
  294. 上杉 拓志, 小山紘司,陣内佛霖,前田晋一,加藤圭輔,安田敦,百瀬陽,寒川誠二, プラズマエッチングにおけるArF レジストLine-Edge-Roughness (LER)発生状態のラクトン構造依存性, 2010年秋季 第71回応用物理学会学術講演会, 16p-ZA-7 (長崎, 2010/09/16).
  295. 和田 章良、佐野 慶佑、遠藤 和彦、松川 貴、昌原 明植、寒川 誠二, 無損傷中性粒子ビーム酸化(NBO)を用いた非対称ゲート酸化膜4端子FinFETの作製, 2010年秋季 第71回応用物理学会学術講演会, 16p-S-8 (長崎, 2010/09/16).
  296. 久保田智広,額賀 理,植木真治,杉山正和,大竹浩人,寒川誠二, フッ素中性粒子ビームによるシリコンエッチング(2), 2010年秋季 第71回応用物理学会学術講演会, 17a-ZA-7 (長崎, 2010/09/17).
  297. 大塚晋吾,渡辺尚喜,岩崎拓也,小野耕平,入江康郎,額賀 理,植木真治,久保田智広,杉山正和,寒川誠二, モンテカルロ法を用いた中性粒子ビームのエネルギー・角度分布解析, 2010年秋季 第71回応用物理学会学術講演会, 17a-ZA-8 (長崎, 2010/09/17).
  298. 戸村 幕樹,高山 昌喜, 黄 啓賢,小野 崇人,寒川 誠二, マイクロカンチレバーの機械特性におけるプラズマ照射損傷の影響(2) , 平成23年電気学会全国大会, (豊中, 2011/03/16).
  299. 高山 昌喜, 戸村 幕樹,黄 啓賢,小野 崇人,寒川 誠二, マイクロカンチレバーの機械特性におけるプラズマ照射損傷の影響(3), 平成23年電気学会全国大会, (豊中, 2011/03/16).
  300. モハマド ファイルズ ブディマン, 黄 啓賢, 五十嵐 誠, 磯田 大河, 伊藤 公平, 寒川 誠二 , シリコン(Si)ナノディスクアレイ構造制御による高精度バンドギャップエネルギー制御, 2011年春季 第58回応用物理学関係連合講演会, 25p-KV-4 (厚木, 2011/03/25).
  301. 水島佳也,木場 隆之, 村山 明宏, 五十嵐 誠, 黄 啓賢, 寒川 誠二, バイオテンプレートにより作製したSiナノディスクアレイの発光特性, 2011年春季 第58回応用物理学関係連合講演会, 25p-KV-5 (厚木, 2011/03/25).
  302. 木場 隆之, 水島佳也, 村山 明宏, 五十嵐 誠, 黄 啓賢, 寒川 誠二, Siナノディスク2次元アレイにおけるピコ秒キャリアダイナミクス, 2011年春季 第58回応用物理学関係連合講演会, 25p-KV-6 (厚木, 2011/03/25).
  303. 五十嵐 誠, 木場 隆之, 水島佳也, 黄 啓賢, 村山 明宏, 寒川 誠二, Siナノディスク構造におけるPL特性の界面状態依存性, 2011年春季 第58回応用物理学関係連合講演会, 25p-KV-7 (厚木, 2011/03/25).
  304. 五十嵐 誠, 田村 洋典, 黄 啓賢, , 寒川 誠二, SiC/Si量子ナノディスク構造の作製とその特性, 2011年春季 第58回応用物理学関係連合講演会, 25p-KV-8 (厚木, 2011/03/25).
  305. 王 宣又、? ?賢、塚本 里佳子、海津 利行、山下 一郎、岡田 義隆、寒川 誠二, Fabrication of Two-dimensional Array of Sub-10nm GaAs Nanodisk by Bio-template Neutral Beam Etching Process, 2011年春季 第58回応用物理学関係連合講演会, 25p-KV-10 (厚木, 2011/03/25).
  306. ? ?賢、海津 利行、五十嵐 誠、海津 利行、王 宣又、岡田 義隆、山下 一郎、寒川 誠二, Investigation of Miniband Formation in Two-Dimensional Array of Silicon Nanodisk, 2011年春季 第58回応用物理学関係連合講演会, 25p-KV-9 (厚木, 2011/03/25).
  307. 和田 章良、遠藤 和彦、昌原 明植、寒川 誠二, 低温・超低損傷中性粒子ビーム酸化プロセスにおけるSi酸化機構, 2011年春季 第58回応用物理学関係連合講演会, 25a-KW-6 (厚木, 2011/03/25).
  308. 和田 章良、遠藤 和彦、昌原 明植、寒川 誠二, 低温・超低損傷中性粒子ビーム酸化(NBO)を用いた高品質GeO2膜の形成, 2011年春季 第58回応用物理学関係連合講演会, 26p-KW-14 (厚木, 2011/03/26).
  309. 佐々木 亨、安原 重雄、田島 邦敏、矢野 尚、門村 新吾、島山 努、松永 範昭、吉丸 正樹、寒川 誠二, 中性粒子ビームCVDによる超低誘電率SiOC膜の形成と構造制御=混合ガス(DMOTMDS/MTMOS)による検討=, 2011年春季 第58回応用物理学関係連合講演会, 26a-KS-3 (厚木, 2011/03/26).
  310. 戸村 幕樹,高山 昌喜, 黄 啓賢,小野 崇人,寒川 誠二, プラズマ照射損傷によるマイクロカンチレバーの機械特性劣化(3), 2011年春季 第58回応用物理学関係連合講演会, 26p-BR-8 (厚木, 2011/03/26).
  311. 高山 昌喜, 戸村 幕樹,黄 啓賢,小野 崇人,寒川 誠二, プラズマ照射損傷によるマイクロカンチレバーの機械特性劣化(4), 2011年春季 第58回応用物理学関係連合講演会, 26p-BR-9 (厚木, 2011/03/26).
  312. 上杉 拓志,加藤 圭輔,安田 敦,佐久間 諭,前田 晋一,寒川 誠二, プラズマエッチングにおけるArF レジストLine-Edge-Roughness (LER) のポリマー構造依存性, 2011年春季 第58回応用物理学関係連合講演会, 26p-EB-7 (厚木, 2011/03/26).
  313. 荒木 良亮,和田 章良,三輪 和弘,岩崎 拓也,小野 耕平,寒川 誠二, オンウエハーセンサを用いたプラズマエッチングプロセスにおけるシース形状およびイオン軌道予測, 2011年春季 第58回応用物理学関係連合講演会, 26p-EB-15 (厚木, 2011/03/26).
  314. 久保田智広,大塚晋吾,渡辺尚貴,岩崎拓也,小野耕平,入江康郎,杉山正和,大竹浩人,寒川誠二, 中性粒子ビームによるシリコンエッチング(3), 2011年春季 第58回応用物理学関係連合講演会, 26p-EB-17 (厚木, 2011/03/26).
  315. 大塚晋吾,渡辺尚喜,岩崎拓也,小野耕平,額賀 理,植木真治,久保田智広,杉山正和,寒川誠二,入江康郎, 中性粒子ビームのエネルギー・角度分布解析とエッチングシミュレーションへの適用, 2011年春季 第58回応用物理学関係連合講演会, 26p-EB-18 (厚木, 2011/03/26).
  316. 渡辺尚貴,大塚晋吾,岩崎拓也,小野耕平,入江康郎,額賀 理,植木真治,久保田智広,杉山正和,寒川誠二, 第一原理電子状態計算による中性粒子ビーム生成メカニズムの解析IV, 2011年春季 第58回応用物理学関係連合講演会, 26p-EB-19 (厚木, 2011/03/26).
  317. (招待講演) 寒川誠二, バイオテンプレート極限加工による高密度・均一量子ナノ構造の作製(30分), 2011年春季 第58回応用物理学関係連合講演会, 26p-BN-6 (厚木, 2011/03/26).
  318. 塚本里加子,山下一郎,五十嵐誠,黄 啓賢,寒川誠二, PEG修飾フェリチンを用いたナノ粒子高密度分散配置, 2011年春季 第58回応用物理学関係連合講演会, 25a-BV-19 (厚木, 2011/03/25).
  319. (招待講演) 森江 隆,梁 海超,孫 意来,五十嵐誠,黄 啓賢,寒川誠二, ノイズを利用する脳型情報処理回路のためのナノデバイス(30分), 2011年春季 第58回応用物理学関係連合講演会, 25p-BG-9 (厚木, 2011/03/25).
  320. (招待講演) 寒川誠二, プラズマ誘起損傷のモニタリングと超低損傷・微細加工技術, 日本真空協会 スパッタリングおよびプラズマプロセス技術部会(SP部会)第124回定例研究会, (東京, 2011/07/26).
  321. 柳沢優希,和田章良,戸村幕樹,小野崇人,寒川誠二, プラズマ照射損傷によるマイクロカンチレバーの機械特性劣化(5), 2011年秋季 第72回 応用物理学会学術講演会, 30p-ZC-5 (山形, 2011/08/30).
  322. 和田 章良、遠藤 和彦、昌原 明植、寒川 誠二, 低温・超低損傷中性粒子ビーム酸化プロセスにおけるSi酸化機構(2), 2011年秋季 第72回 応用物理学会学術講演会, 31a-Q-6 (山形, 2011/08/31).
  323. 上杉 拓志,和田章良, 岡田健, 加藤圭輔,安田敦,佐久間諭,前田晋一,寒川誠二, プラズマエッチングにより発生するArFレジストLine-Edge-Roughness (LER)のポリマー主鎖構造依存性, 2011年秋季 第72回 応用物理学会学術講演会, 31a-M-15 (山形, 2011/08/31).
  324. 荒木良亮,久保田智広,三輪和弘,岩崎拓也,小野耕平,寒川誠二, オンウエハーモニタリングとシミュレーションの融合による立体形状エッチングにおけるシース形状およびイオン軌道予測, 2011年秋季 第72回 応用物理学会学術講演会, 31p-M-2 (山形, 2011/08/31).
  325. 田村洋典, 黄啓賢, 王宣又,久保田智広,太田実雄, 藤岡洋, 寒川誠二, 塩素中性粒子ビームを用いたGaNの無損傷エッチングプロセス, 2011年秋季 第72回 応用物理学会学術講演会, 31p-M-3 (山形, 2011/08/31).
  326. 久保田智広,大塚晋吾,渡辺尚貴,岩崎拓也,小野耕平,入江康郎,杉山正和,大竹浩人,寒川誠二, 中性粒子ビームによるシリコンエッチング(4), 2011年秋季 第72回 応用物理学会学術講演会, 31p-M-8 (山形, 2011/08/31).
  327. 大塚晋吾, 渡辺尚貴, 岩崎拓也, 小野耕平, 入江康郎, 植木真治,額賀理, 杉山正和, 久保田智広, 寒川誠二, 中性粒子ビーム生成におけるアパーチャ構造とエッチング特性解析, 2011年秋季 第72回 応用物理学会学術講演会, 31p-M-10 (山形, 2011/08/31).
  328. 渡辺尚貴, 大塚晋吾, 岩崎拓也, 小野耕平, 入江康郎, 植木真治,額賀理, 杉山正和, 久保田智広, 寒川誠二, 第一原理電子状態計算による中性粒子ビーム生成メカニズムの解析V, 2011年秋季 第72回 応用物理学会学術講演会, 31p-M-9 (山形, 2011/08/31).
  329. 望月俊輔,久保田智広,大塚晋吾, 小野耕平, 岩崎拓也, 渡辺尚貴,入江康郎,杉山正和,寒川誠二, 中性粒子ビームによるエッチングの加工形状シミュレーション, 2011年秋季 第72回 応用物理学会学術講演会, 31p-M-11 (山形, 2011/08/31).
  330. モハマド ファイルズ ブディマン, 五十嵐誠, 胡衛国, 磯田大河, 伊藤公平, 寒川誠二, 高密度なφ6nmシリコンナノディスク2次元アレイの作製と光学的特性評価, 2011年秋季 第72回 応用物理学会学術講演会, 1a-K-1 (山形, 2011/09/01).
  331. 五十嵐誠, 木場隆之, 水島佳也, 村山明宏, 寒川誠二, Siナノディスク構造のPL特性とその発光起源, 2011年秋季 第72回 応用物理学会学術講演会, 1a-K-2 (山形, 2011/09/01).
  332. 水島佳也,木場隆之,村山明宏,五十嵐誠,寒川誠二, Si ナノディスクアレイの発光特性とキャリア状態, 2011年秋季 第72回 応用物理学会学術講演会, 1a-K-3 (山形, 2011/09/01).
  333. 木場隆之,水島佳也,村山明宏,五十嵐誠,寒川誠二, Si ナノディスクアレイにおける光励起キャリアの超高速ダイナミクス, 2011年秋季 第72回 応用物理学会学術講演会, 1a-K-4 (山形, 2011/09/01).
  334. 五十嵐誠, 海津利行, 岡田至崇, 寒川誠二, 障壁材料としてSiCを用いたSi量子ナノディスクアレイ構造の電気・光学特性, 2011年秋季 第72回 応用物理学会学術講演会, 1a-K-5 (山形, 2011/09/01).
  335. 和田 章良、遠藤 和彦、昌原 明植、寒川 誠二, 低温・超低損傷中性粒子ビーム酸化(NBO)を用いた高品質GeO2膜の形成(2), 2011年秋季 第72回 応用物理学会学術講演会, 1a-Q-5 (山形, 2011/09/01).
  336. Weiguo Hu, Mohd Fairuz Budiman, Makoto Igarashi, Tomohiro Kubota, and Seiji Samukawa, Band Sructure of Silicon Nanodisk for Photovoltaic Application, 2011年秋季 第72回 応用物理学会学術講演会, 1a-K-6 (山形, 2011/09/01).
  337. Shih-Hung Lin, Xuan-Yu Wang, Chi-Hsien Huang, Rikako Tsukamoto, Toshiyuki Kaizu, Makoto Igarashi, Yoshitaka Okada, and Seiji Samukawa, Top-down Process of Fabricating Two-dimensional Array of Sub-10nm GaAs Nanodisk by Bio-template Neutral Beam Etching , 2011年秋季 第72回 応用物理学会学術講演会, 1a-K-7 (山形, 2011/09/01).
  338. 塚本里加子, 山下一郎, 五十嵐誠, 寒川誠二, PEG 修飾フェリチンの分散挙動, 2011年秋季 第72回 応用物理学会学術講演会, 1p-V-4 (山形, 2011/09/01).
  339. 太田実雄,田村洋典,黄啓賢,王宣又,久保田智広,藤岡洋,寒川誠二, 中性粒子ビームを用いた GaN エッチングの特性, 2011年秋季 第72回 応用物理学会学術講演会, 1p-ZE-9 (山形, 2011/09/01).
  340. 梁海超,森江隆,孫意来,五十嵐誠,寒川誠二, ノイズを伴うスパイキングニューロンモデルを実現するナノディスクアレイ構造, 2011年秋季 第72回 応用物理学会学術講演会, 1p-ZQ-11 (山形, 2011/09/01).
  341. (招待講演) 寒川誠二, バイオテンプレート極限加工による量子ナノ構造の作製と新機能発現, 第7回量子ナノ材料セミナー, (東京, 2011/09/21).
  342. 渡辺尚貴, 大塚晋吾, 岩崎拓也, 小野耕平, 入江康郎, 額賀理, 植木真治, 杉山正和, 久保田智広, 寒川誠二, 第一原理電子状態計算による中性粒子ビーム生成メカニズムの解析, 第28回「センサ・マイクロマシンと応用システム」シンポジウム, (東京, 2011/09/26).
  343. 大塚晋吾, 渡辺尚貴, 岩崎拓也, 小野耕平, 入江康郎, 額賀理, 植木真治, 杉山正和, 久保田智広, 寒川誠二, 中性粒子ビーム生成におけるアパーチャ構造とエッチング特性解析, 第28回「センサ・マイクロマシンと応用システム」シンポジウム, (東京, 2011/09/27).
  344. 脇岡寛之、額賀理、田端和仁、久保田智広、寒川誠二、杉山正和, フェムト秒レーザアシストエッチングによるナノ流路形成技術とバクテリウム培養デバイスへの応用, 第28回「センサ・マイクロマシンと応用システム」シンポジウム, (東京, 2011/09/26).
  345. 植木真治, 西森勇貴, 今本浩史, 久保田智広,杉山正和, 寒川誠二, 橋口 原, 等価回路設計手法によるVB-FETの特性評価, 第28回「センサ・マイクロマシンと応用システム」シンポジウム, (東京, 2011/09/26).
  346. (招待講演) 寒川誠二, 超高精度量子ドット形成技術による高効率太陽電池の実現に向けた挑戦, 東北支部・東海支部共同セミナー 交通とエネルギーの統合-クリーンでロバストな社会システムを目指して- , (仙台, 2011/11/12).
  347. (招待講演) 寒川誠二, 和田章良, 柳沢優希, 小野崇人, プラズマ誘起欠陥によるMEMS機械的特性劣化機構の解明, 第48回X線材料強度に関する討論会, (大阪, 2011/12/02).
  348. 和田 章良、? 睿、高木 信一、寒川 誠二, 低温・超低損傷中性粒子ビーム酸化(NBO)を用いた高品質GeO2膜の電気特性評価, 2012年春季 第59回 応用物理学関係連合講演会, 16p-A4-3 (東京, 2012/03/16).
  349. 三輪和弘, 西森勇貴, 植木真治, 杉山正和, 久保田智広, 寒川誠二, 中性粒子ビームエッチングによる MEMS 側壁の平坦化, 2012年春季 第59回 応用物理学関係連合講演会, 16a-E3-1 (東京, 2012/03/16).
  350. 塚本里加子, 山下一郎, 岡本尚文, 五十嵐誠, 寒川誠二, ポリマー修飾 SiO2 基板を用いたフェリチン二次元結晶化, 2012年春季 第59回 応用物理学関係連合講演会, 16p-F1-8 (東京, 2012/03/16).
  351. 久保田智広, 三輪和弘, 大塚晋吾, 渡辺尚貴, 岩崎拓也, 小野耕平, 杉山正和, 寒川誠二, 中性粒子ビームによるシリコンエッチング(5), 2012年春季 第59回 応用物理学関係連合講演会, 17a-A7-24 (東京, 2012/03/17).
  352. 大塚晋吾, 渡辺尚貴, 岩崎拓也, 小野耕平, 入江康郎, 望月俊輔, 杉山正和, 久保田智広, 寒川誠二, 中性粒子ビームエッチングモデルと加工形状解析, 2012年春季 第59回 応用物理学関係連合講演会, 17a-A7-25 (東京, 2012/03/17).
  353. 望月俊輔,大塚晋吾,渡辺尚貴,岩崎拓也,小野耕平,入江康郎,久保田智弘,杉山正和,寒川誠二, 中性粒子ビームエッチングの加工形状シミュレーション(2), 2012年春季 第59回 応用物理学関係連合講演会, 17a-A7-26 (東京, 2012/03/17).
  354. 荒木良亮,久保田智広,岩崎拓也,小野耕平,寒川誠二, オンウエハーモニタリングとシミュレーションの融合によるプラズマプロセス中の表面イオンシース形状と入射イオン軌道予測, 2012年春季 第59回 応用物理学関係連合講演会, 17p-A7-3 (東京, 2012/03/17).
  355. 上杉 拓志,岡田健,加藤圭輔,安田敦,前田晋一,寒川誠二, プラズマエッチングにおけるArFレジストLine-Edge-Roughness (LER)の側鎖構造依存性, 2012年春季 第59回 応用物理学関係連合講演会, 17p-A7-4 (東京, 2012/03/17).
  356. 田村洋典、久保田智広、太田実雄、藤岡洋、寒川誠二, 中性粒子ビームエッチングによるGaNのダメージフリーエッチング(2) ―エッチングガスの依存性―, 2012年春季 第59回 応用物理学関係連合講演会, 17p-A7-5 (東京, 2012/03/17).
  357. 岡田 健,黄 啓賢,李 連忠,頼 朝松,寒川 誠二, 中性粒子ビーム照射によるグラフェンの窒化メカニズム検討, 2012年春季 第59回 応用物理学関係連合講演会, 17p-A3-13 (東京, 2012/03/17).
  358. モハマド ファイルズ,五十嵐誠, 胡衛国, 村山明宏、岡田至崇、寒川誠二, Si量子ナノディスク2次元アレイにおける光吸収特性の構造(直径・膜厚・障壁材料)依存性, 2012年春季 第59回 応用物理学関係連合講演会, 17p-E1-13 (東京, 2012/03/17).
  359. 五十嵐誠, Weiguo Hu, 木場隆之, 村山明宏, 寒川誠二, 障壁材料としてSiCを用いたSi量子ナノディスクアレイ構造のPL特性, 2012年春季 第59回 応用物理学関係連合講演会, 17p-E1-14 (東京, 2012/03/17).
  360. 木場 隆之, 水島 佳也, 村山 明宏, 五十嵐 誠, 寒川 誠二, Siナノディスクアレイにおける時間分解PLスペクトルの温度依存性, 2012年春季 第59回 応用物理学関係連合講演会, 17p-E1-15 (東京, 2012/03/17).
  361. 胡 衛国, MOHD FAIRUZ, 五十嵐 誠, 李 明義, 李 義明, 寒川 誠二, シリコンナノディスクのミニバンド形成と太陽光発電への応用, 2012年春季 第59回 応用物理学関係連合講演会, 17p-E1-16 (東京, 2012/03/17).
  362. 田村洋典、五十嵐誠、モハマド・エルマン・ファウジ、胡衛国、海津利之、岡田至崇、寒川誠二, バイオテンプレート極限加工によるGaAs量子ナノディスク構造の制御, 2012年春季 第59回 応用物理学関係連合講演会, 17p-E1-17 (東京, 2012/03/17).
  363. 伊藤寿, 桑原卓哉, 樋口祐次, 尾澤伸樹, 島崎智実, 寒川誠二, 久保百司, 量子分子動力学法に基づくシリコン酸化膜SiO2の CF Xラジカルエッチングプロセスシミュレーション, 2012年春季 第59回 応用物理学関係連合講演会, 17a-A4-1 (東京, 2012/03/17).
  364. (招待講演) 寒川誠二, 超高精度量子ドット形成技術による高効率太陽電池の実現に向けた挑戦, 東北大学イノベーションフェア, (東京, 2012/03/15).
  365. (招待講演) 寒川誠二, , 量子材料セミナー, (東京, 2012/04/30).
  366. (招待講演) 寒川誠二, バイオテンプレート極限加工による高精度量子ドットと高効率太陽電池への展開, 応用物理学会シリコンテクノロジー分科会第152回研究会「最先端シリコンナノエレクトロニクスの動向と今後の展開」, (つくば, 2012/09/04).
  367. モハマド エルマン ファウジ, 五十嵐誠, 胡衛国, 海津利行, 岡田至崇, 寒川誠二, 2次元Siナノディスクアレイにおけるミニバンド形成と電気伝導性の向上, 2012年秋季 第73回応用物理学会学術講演会, 11a-F1-1 (松山, 2012/09/11).
  368. 胡衛国、五十嵐誠、モハマドエルマン、寒川誠二, 2Dシリコン・ナノディスクにおける電子構造および電気伝導率, 2012年秋季 第73回応用物理学会学術講演会, 11a-F1-2 (松山, 2012/09/11).
  369. 五十嵐誠、胡衛国、モハマドラフマン、寒川誠二, 均一・高密度・3次元Siナノディスクの作製とその電気・光学特性, 2012年秋季 第73回応用物理学会学術講演会, 11a-F1-3 (松山, 2012/09/11).
  370. 木場隆之, 水島佳也, 村山明宏, 五十嵐誠, 寒川誠二, バイオテンプレートにより作製したSiナノディスクの発光起源, 2012年秋季 第73回応用物理学会学術講演会, 11a-F1-4 (松山, 2012/09/11).
  371. 李昊, 中治光童, 木場隆之, 五十嵐誠, 寒川誠二, 佐久間実緒, 上野貢生, 三澤弘明, 村山明宏, Au微細構造を複合させた高密度Siナノディスクにおける発光特性, 2012年秋季 第73回応用物理学会学術講演会, 11a-F1-5 (松山, 2012/09/11).
  372. 田村洋典、五十嵐誠、セドリック・トーマス、モハマド・エルマン・ファウジ、胡衛国、塚本里加子、海津利之、星井拓也、木場隆之、山下一郎、岡田至崇、村山明宏、寒川誠二, バイオテンプレート極限加工によるGaAsナノディスクの作製と発光特性, 2012年秋季 第73回応用物理学会学術講演会, 11a-F1-6 (松山, 2012/09/11).
  373. 海津利行, 田村洋典, 五十嵐誠, 胡衛国, 寒川誠二, 岡田至崇, 原子状水素援用MBEによる2次元GaAsナノディスクアレイのGaAs/AlGaAsキャップ層再成長, 2012年秋季 第73回応用物理学会学術講演会, 11p-J-13 (松山, 2012/09/11).
  374. 磯田大河,伊藤公平, 山下一郎,寒川誠二, フェリチンを用いたシリコン基板上におけるサブ10nm金ナノ粒子の高密度配置, 2012年秋季 第73回応用物理学会学術講演会, 12a-F1-8 (松山, 2012/09/12).
  375. 和田章良、?睿、高木信一、寒川誠二, 低温・超低損傷中性粒子ビーム酸化を用いた高品質GeO2/Ge界面の形成, 2012年秋季 第73回応用物理学会学術講演会, 12p-F4-5 (松山, 2012/09/12).
  376. 伊藤寿, 桑原卓哉, 石川岳志, 樋口祐次, 尾澤伸樹, 島崎智実, 寒川誠二, 久保百司, 量子分子動力学シミュレーションを用いたCFxラジカルによるシリコン酸化膜 SiO2のエッチングプロセス解析, 2012年秋季 第73回応用物理学会学術講演会, 12p-F4-16 (松山, 2012/09/12).
  377. 三輪和弘, 西森勇貴, 植木真治, 杉山正和, 久保田智広, 寒川誠二, 中性粒子ビームを用いた MEMS デバイス作製のための低ダメージエッチング, 2012年秋季 第73回応用物理学会学術講演会, 13a-F7-1 (松山, 2012/09/13).
  378. 柳沢優希、和田章良、荒木良亮、久保田智広、寒川誠二, 中性粒子ビームを用いた3次元MEMS構造の無損傷エッチング, 2012年秋季 第73回応用物理学会学術講演会, 13a-F7-2 (松山, 2012/09/13).
  379. 吉田 優樹,和田 章良,寒川 誠二, 超低損傷中性粒子ビームエッチングを用いた微細シリコン振動子の作製, 2012年秋季 第73回応用物理学会学術講演会, 13a-F7-3 (松山, 2012/09/13).
  380. 渡辺尚貴, 大塚晋吾, 岩崎拓也, 小野耕平, 入江康郎, 植木真治,額賀理, 杉山正和, 久保田智広, 寒川誠二, 第一原理電子状態計算による中性粒子ビーム生成メカニズムの解析VI, 2012年秋季 第73回応用物理学会学術講演会, 13a-E3-3 (松山, 2012/09/13).
  381. 大塚晋吾, 渡辺尚貴, 岩崎拓也, 小野耕平, 入江康郎, 望月俊輔, 杉山正和, 久保田智広, 寒川誠二, 中性粒子ビームエッチングモデルと加工形状解析(2), 2012年秋季 第73回応用物理学会学術講演会, 13p-E2-7 (松山, 2012/09/13).
  382. 望月俊輔, 渡辺尚貴, 大塚晋吾, 岩崎拓也, 小野耕平, 入江康郎, 三輪和弘, 久保田智広, 杉山正和, 寒川誠二, 中性粒子ビームエッチングの加工形状シミュレーション(3), 2012年秋季 第73回応用物理学会学術講演会, 13p-E2-8 (松山, 2012/09/13).
  383. 久保田智広, 三輪和弘, バトナサン・アルタンスック, 大塚晋吾, 渡辺尚貴, 岩崎拓也, 小野耕平, 杉山正和, 寒川誠二, 中性粒子ビームによるシリコンエッチング(6), 2012年秋季 第73回応用物理学会学術講演会, 13p-E2-9 (松山, 2012/09/13).
  384. 田村洋典, 太田実雄, 藤岡洋, 寒川誠二, 塩素中性粒子ビームによるAlGaN/GaNヘテロ構造のダメージフリーエッチング, 2012年秋季 第73回応用物理学会学術講演会, 13p-E2-10 (松山, 2012/09/13).
  385. 中山大樹, 和田章良, 久保田智広、Moritz Haass, Robert Bruce, Ryan M. Martin, Nicholas C. M. Fuller, 寒川誠二, 中性粒子ビームによる低損傷・高選択比SiNゲートスペーサーエッチング, 2012年秋季 第73回応用物理学会学術講演会, 13p-E2-11 (松山, 2012/09/13).
  386. 菊地良幸、和田章良、寒川誠二, マイクロ波プラズマ励起大口径中性粒子ビームCVDによる高密度な超低誘電率SiCOHの成膜, 2012年秋季 第73回応用物理学会学術講演会, 14a-F5-6 (松山, 2012/09/14).
  387. (招待講演) 寒川誠二, 超低損傷微細加工プロセスのナノプロセッシングへの展開, 化学工学会 第44回秋季大会, G213 (仙台, 2012/09/20).
  388. (招待講演) 寒川誠二, 究極のトップダウンプロセスによる高性能量子効果デバイスの開発, プラズマエレクトロニクスセミナー, (大阪, 2012/09/28).
  389. (招待講演) 寒川誠二, 微細加工のためのプラズマ技術, 文部科学省ナノテクノロジー・プラットフォームNPPP 超微細加工 人材育成スクール, 4 (つくば, 2012/10/04).
  390. 西森勇貴, 植木真治, 三輪和弘, 杉山正和, 寒川誠二, 橋口原, プラズマダメージを抑制した中性粒子ビームエッチングのMEMSにおける効果検証と各種シリコン表面との比較, 第29回「センサ・マイクロマシンと応用システム」シンポジウム, 2B2-2 (北九州, 2012/10/23).
  391. 大塚晋吾, 渡辺尚貴, 岩崎拓也, 小野耕平, 入江康郎, 望月俊輔, 杉山正和, 久保田智広, 寒川誠二, 中性粒子ビームエッチングモデルと加工形状解析, 第29回「センサ・マイクロマシンと応用システム」シンポジウム, SP1-5 (北九州, 2012/10/23).
  392. 望月俊輔, 大塚晋吾, 渡辺尚貴, 岩崎拓也, 小野耕平, 入江康郎, 三輪和弘, 久保田智広, 杉山正和, 寒川誠二, 形状シミュレーションによる塩素中性粒子ビームエッチングの加工形状の検討, 第29回「センサ・マイクロマシンと応用システム」シンポジウム, SP1-6 (北九州, 2012/10/23).
  393. (招待講演) 寒川誠二, 超低損傷加工による量子ドット3次元構造作製とデバイス展開, 第12回インテリジェントナノプロセス研究会, (仙台, 2012/12/20).
  394. (招待講演) 寒川誠二, 超低損傷加工による量子ドット3次元構造作製とデバイス展開, 第1回半導体ミニバンド研究会, (宮崎, 2013/03/18).
  395. 胡衛国、五十嵐誠、モハマド エルマン ファウジ、肥後昭男、李明義、李義明、寒川誠二, Silicon Nanodisk Intermediate Band Solar Cell, 第60回 応用物理学会春季学術講演会, 30a-G20-5 (厚木, 2013/03/30).
  396. 五十嵐誠、モハマド M ラフマン、胡衛国、寒川誠二, 3次元Si量子ナノディスク超格子構造における光吸収・電導特性, 第60回 応用物理学会春季学術講演会, 28p-G20-16 (厚木, 2013/03/28).
  397. トーマス セドリック、田村洋典、五十嵐誠、モハマドエルマンファウジ、肥後昭男、胡衛国、久保田智広、寒川誠二, Ar:Cl2 mixture ratio study on Neutral Beam etching of GaAs, 第60回 応用物理学会春季学術講演会, 30a-G20-6 (厚木, 2013/03/30).
  398. 田村洋典、五十嵐誠、トーマスセドリック、モハマドエルマンファウジ、胡衛国、肥後昭男、塚本里加子、海津利行、星井拓也、木場隆之、山下一郎、岡田至崇、村山明宏、寒川誠二, バイオテンプレート極限加工による直径制御GaAsナノディスクの作製とその発光特性, 第60回 応用物理学会春季学術講演会, 30a-G20-7 (厚木, 2013/03/30).
  399. モハマド エルマン ファウジ、田村洋典、肥後昭男、王云鵬、杉山正和、中野義昭、寒川誠二, バイオテンプレート極限加工による3次元アレイGaAsナノディスクの作製, 第60回 応用物理学会春季学術講演会, 30a-G20-9 (厚木, 2013/03/30).
  400. 肥後昭男,田村洋典,モハマド エルマン ファウジ,トーマス セドリック, 五十嵐誠,胡衛国, 木場隆之,村山明宏,王云鵬, 杉山正和,中野義昭,寒川誠二, GaAsナノディスクのAlGaAs/GaAs MOVPE埋め込み再成長, 第60回 応用物理学会春季学術講演会, 28p-G20-9 (厚木, 2013/03/28).
  401. 胡衛国、五十嵐誠、モハマド エルマン ファウジ、肥後昭男、李明義、李義明、寒川誠二, Type-II Germanium Nanodisk for Photovoltaic Applications, 第60回 応用物理学会春季学術講演会, 30a-G20-10 (厚木, 2013/03/30).
  402. モハマド エルマン ファウジ、五十嵐誠、胡衛国、田村洋典、和田章良、山下一郎、寒川誠二, バイオテンプレート極限加工によるGeナノ構造の作製, 第60回 応用物理学会春季学術講演会, 30a-G20-11 (厚木, 2013/03/30).
  403. 菊地良幸、和田章良、寒川誠二, マイクロ波プラズマ励起大口径中性粒子ビーム CVDによる高密度な超低誘電率SiCOHの成膜(2), 第60回 応用物理学会春季学術講演会, 30a-G6-6 (厚木, 2013/03/30).
  404. 中山大樹、和田章良、久保田智広、寒川誠二, 中性粒子ビームによる低損傷・高選択比SiNエッチングメカニズムの解明, 第60回 応用物理学会春季学術講演会, 27p-A3-14 (厚木, 2013/03/27).
  405. 和田章良、中山大樹、?睿、高木信一、寒川誠二, 低温・超低損傷中性粒子ビーム酸化を用いたAl2O3/GeOx/Ge構造の形成, 第60回 応用物理学会春季学術講演会, 28p-G2-12 (厚木, 2013/03/28).
  406. 久保田智広,三輪和弘,バトナサン・アルタンスック,大塚晋吾,渡辺尚貴,岩崎拓也,入江康郎,小野耕平,杉山正和,寒川誠二, 中性粒子ビームによるシリコンエッチング(7), 第60回 応用物理学会春季学術講演会, 28a-A5-1 (厚木, 2013/03/28).
  407. 久保田智広、佐藤充男、岩崎拓也、小野耕平、寒川誠二, オンウェハモニタリングによるプラズマプロセスダメージ・形状予測, 第60回 応用物理学会春季学術講演会, 27p-A3-4 (厚木, 2013/03/27).
  408. 木場隆之, 須崎健太, 田村洋典, 五十嵐誠, トーマスセドリック, 胡衛国, 海津利行, 岡田至崇, 寒川誠二, 村山明宏, トップダウン加工GaAsナノディスクにおけるキャリアスピン緩和, 第60回 応用物理学会春季学術講演会, 30a-G20-8 (厚木, 2013/03/30).
  409. 海津利行, 田村洋典, 五十嵐誠, トーマスセドリック, 胡衛国, 寒川誠二, 岡田至崇, 中性粒子ビームエッチングより作製したGaAsナノディスクのPL特性のディスク高さ依存性, 第60回 応用物理学会春季学術講演会, 29a-PB7-6 (厚木, 2013/03/29).
  410. 渡辺尚貴, 大塚晋吾, 岩崎拓也, 小野耕平, 入江康郎, 植木真治, 額賀理, 杉山正和, 久保田智広, 寒川誠二, 第一原理電子状態計算による中性粒子ビーム生成メカニズムの解析VII, 第60回 応用物理学会春季学術講演会, 28a-A5-2 (厚木, 2013/03/28).
  411. 大塚晋吾, 渡辺尚貴, 岩崎拓也, 小野耕平, 入江康郎, 望月俊輔, 三輪和弘, 杉山正和, 久保田智広, 寒川誠二 , 中性粒子ビームエッチングモデルと加工形状解析(3), 第60回 応用物理学会春季学術講演会, 28a-A5-3 (厚木, 2013/03/28).
  412. 望月俊輔, 渡辺尚貴, 大塚晋吾, 岩崎拓也, 小野耕平, 入江康郎, 三輪和弘, 久保田智広, 杉山正和, 寒川誠二, 中性粒子ビームエッチングの加工形状シミュレーション (4), 第60回 応用物理学会春季学術講演会, 28a-A5-4 (厚木, 2013/03/28).
  413. 三輪和弘、植木真治、西森勇貴、杉山正和、久保田智広、寒川誠二、橋口原, 中性粒子ビームを用いた3D MEMSデバイス作製のためのSiエッチング, 第60回 応用物理学会春季学術講演会, 29a-G7-3 (厚木, 2013/03/29).
  414. 東原敬,梁海超,遠藤和彦,五十嵐誠,寒川誠二,昌原明植,森江隆, FinFETとナノディスクアレイ構造を結合したニューロンデバイス, 第60回 応用物理学会春季学術講演会, 29a-PB3-4 (厚木, 2013/03/29).
  415. 伊藤寿,桑原卓哉,石川岳志,樋口祐次,尾澤伸樹,寒川誠二,久保百司, フルオロカーボンラジカルによるシリコン酸化膜SiO2エッチングプロセスへの量子分子動力学法アプローチ, 第60回 応用物理学会春季学術講演会, 28a-G2-2 (厚木, 2013/03/28).
  416. Mohammad Rahman、宇佐美徳隆、寒川誠二, バイオテンプレート極限加工による3次元量子ドット超格子構造の作製, 第10回「次世代の太陽光発電システム」シンポジウム, N-9 (金沢, 2013/05/23).
  417. 大野武雄、寒川誠二, 2nm厚さタンタル酸化膜を持つ抵抗変化型スイッチ素子, 第74回応用物理学会秋季学術講演会, 19a-P3-1 (京田辺, 2013/09/19).
  418. 岡田 健, Weiguo Hu, , 肥後 昭男, Ming-Yi Lee, Yiming Li, 寒川 誠二, ゲルマニウム量子ドットを用いた中間バンド型太陽電池における最適構造検討, 第74回応用物理学会秋季学術講演会, 18a-D6-5 (京田辺, 2013/09/18).
  419. Mohammad Maksudur Rahman, Makoto Igarashi, Weiguo Hu, Mohd Erman Syazwan, Yusuke Hoshi, Noritaka Usami, and Seiji Samukawa, Formation and Characterization of a Three Dimensional Silicon Quantum Dot Superlattice Fabricated by Top-Down Process Using Bio-Template and Neutral Beam Etching Technology for its Application to a High Efficiency Quantum Dot Solar Cell, 第74回応用物理学会秋季学術講演会, 18a-D6-6 (京田辺, 2013/09/18).
  420. C. Thomas, Y. Tamura, A. Higo, T. Okada, N. Okamoto, I. Yamashita, and Seiji Samukawa, GaAs surface investigation for high density quantum nanodisk array with a bio-template top-down process, 第74回応用物理学会秋季学術講演会, 18a-D6-7 (京田辺, 2013/09/18).
  421. 田村洋典、肥後昭男、セドリック・トーマス、岡田健、山下一郎、寒川誠二, バイオテンプレート極限加工によるGaAsナノディスクの作製プロセスの最適化, 第74回応用物理学会秋季学術講演会, 18a-D6-8 (京田辺, 2013/09/18).
  422. 肥後昭男, 田村洋典, 木場隆之, トーマス セドリック, 村山明宏, 王云鵬, 杉山正和, 中野 義昭, 寒川 誠二, バイオテンプレート極限加工によるGaAs量子ナノディスクの作製とフォトルミネッセンス特性, 第74回応用物理学会秋季学術講演会, 18a-D6-9 (京田辺, 2013/09/18).
  423. 中山大樹, 大野武雄, ?睿, 高木信一, 寒川誠二, 低温・超低損傷中性粒子ビーム酸化を用いたGeOx薄膜構造の制御, 第74回応用物理学会秋季学術講演会, 17p-B5-13 (京田辺, 2013/09/17).
  424. 岡田 健,Chi-Hsien Huang, Chao-Sung Lai,寒川 誠二, 中性粒子ビームエッチングによる超低損傷グラフェンナノリボン形成, 第74回応用物理学会秋季学術講演会, 17p-B1-15 (京田辺, 2013/09/17).
  425. 渡辺尚貴,大塚晋吾,岩崎拓也,小野耕平,入江康郎,望月俊輔,久保田智広,寒川誠二, 中性粒子ビームエッチングプロセスの総合的シミュレーション, 第74回応用物理学会秋季学術講演会, 18a-C2-3 (京田辺, 2013/09/18).
  426. 久保田智広,佐藤充男, 岩崎拓也,小野耕平,寒川誠二, オンウェハモニタリングによるプラズマエッチング形状予測, 第74回応用物理学会秋季学術講演会, 18a-C2-2 (京田辺, 2013/09/18).
  427. 榊原 康明, 菊地 良幸,寒川 誠二, 中性粒子ビームにおけるRFバイアス印可状態のモニタリングと加速機構解明, 第74回応用物理学会秋季学術講演会, 19a-C10-2 (京田辺, 2013/09/19).
  428. 木場隆之,須崎健太,田村洋典,肥後昭男,寒川誠二,村山明宏, バイオテンプレート極限加工GaAs量子ナノディスクにおける光励起キャリアダイナミクス, 第74回応用物理学会秋季学術講演会, 18p-D6-1 (京田辺, 2013/09/18).
  429. 須崎健太,木場隆之,Kwangseuk Kyhm,田村洋典,肥後昭男,寒川誠二,村山明宏, バイオテンプレート極限加工GaAs量子ナノディスクにおける光学利得, 第74回応用物理学会秋季学術講演会, 18p-D6-2 (京田辺, 2013/09/18).
  430. 田中亨,佐々木義,木場隆之,Kwangseuk Kyhm,田村洋典,肥後昭男,寒川誠二,村山明宏, バイオテンプレート極限加工GaAs量子ナノディスクの反射ポンププローブ分光, 第74回応用物理学会秋季学術講演会, 18p-D6-3 (京田辺, 2013/09/18).
  431. 伊藤寿,桑原卓哉,樋口祐次,尾澤伸樹,寒川誠二,久保百司, 量子分子動力学法に基づくフルオロカーボンラジカルによるSiO2エッチングプロセスの解明, 第74回応用物理学会秋季学術講演会, 17a-B5-1 (京田辺, 2013/09/17).
  432. (招待講演) 寒川誠二, 超低損傷中性粒子ビームエッチングによる無欠陥グラフェンナノ構造の形成とデバイスへの展開, 第165回 応用物理学会シリコンテクノロジー分科会研究集会, (つくば, 2013/11/11).
  433. (招待講演) 寒川誠二, 中性粒子ビームによる超低損傷加工が拓く革新的ナノデバイス, 大口径中性粒子ビームに関する研究会, (仙台, 2013/11/22).
  434. 久保田智広、寒川誠二, 最先端電池基盤技術コンソーシアムの取り組み, 安全・安心工学コース分野横断型シンポジウム「エネルギーシステムの安全性、健全性」, (仙台, 2014/02/21).
  435. 岡田健、五十嵐航基、Su Ching Yuan、Hang Chi Hshien,Patrick Han,菅原克明、一杉太郎、高橋隆、寒川誠二, グラフェンのプラズマ加工における損傷メカニズムの解明, 第61回 応用物理学会春季学術講演会, 17p-D5-6 (相模原, 2014/03/17).
  436. 五十嵐孔基、岡田健、Su Ching Yuan、Hang Chi Hshien,Patrick Han,菅原克明、一杉太郎、高橋隆、寒川誠二, バイオテンプレート極限加工を用いたグラフェン量子ドットの作製と光学特性評価, 第61回 応用物理学会春季学術講演会, 17p-D5-7 (相模原, 2014/03/17).
  437. Mohammad Maksudur Rahman、五十嵐誠、岡田健、星裕介、宇佐美徳隆、寒川誠二, Effect of Vertical Miniband on the Photovoltaic Performance of a Solar Cell with Quantum DotSuperlattice Fabricated by Using Bio-Template and Neutral Beam Etching Technology, 第61回 応用物理学会春季学術講演会, 17p-E15-6 (相模原, 2014/03/17).
  438. エルマン モハマド,岡田 健,藤井拓也,遠藤広孝,伊藤公平,山下一郎 ,寒川誠二, バイオテンプレート極限加工による高密度10 nm Geナノディスクの作製と光学特性, 第61回 応用物理学会春季学術講演会, 17p-E15-7 (相模原, 2014/03/17).
  439. 田村洋典,肥後昭男 ,セドリック トーマス,吉川憲一,岡田 健 ,王 云鵬 ,杉山正和 ,中野義昭 ,寒川誠二 , バイオテンプレート極限加工による高密度GaAsナノディスクの作製プロセスの最適化, 第61回 応用物理学会春季学術講演会, 17p-E15-8 (相模原, 2014/03/17).
  440. 肥後昭男,田村洋典 ,木場隆之 ,セドリック トーマス,王 云鵬,山下一郎,杉山正和 ,中野義昭,村山明宏,寒川誠二, バイオテンプレート極限加工によるGaAs量子ナノディスクの狭帯域フォトルミネッセンス特性 , 第61回 応用物理学会春季学術講演会, 17p-E15-9 (相模原, 2014/03/17).
  441. 木場隆之,田中 亨,田村洋典,肥後昭男,寒川誠二,村山明宏, 高均一GaAs量子ナノディスクにおけるピコ秒キャリアダイナミクス, 第61回 応用物理学会春季学術講演会, 17p-E15-10 (相模原, 2014/03/17).
  442. 吉川憲一,李 昌勇,田村洋典,肥後昭男,Cedric Thomas,山下一郎 ,寒川誠二, バイオテンプレート極限加工によるInGaAs量子ナノディスクの作製, 第61回 応用物理学会春季学術講演会, 17p-E15-11 (相模原, 2014/03/17).
  443. 榊原康明,菊地良幸,寒川誠二, 中性粒子ビームにおけるRFバイアス印加状態のモニタリングと加速機構解明2, 第61回 応用物理学会春季学術講演会, 17p-F1-14 (相模原, 2014/03/17).
  444. Xun Gu,菊地良幸,野沢俊久,寒川誠二, 中性粒子ビームによる錯体化反応を用いた低温ダメージフリー遷移金属エッチングプロセス, 第61回 応用物理学会春季学術講演会, 17a-E7-32 (相模原, 2014/03/17).
  445. Halubai Sekhar ,久保田智広 ,Van Toan Nguyen ,小野崇人,寒川誠二, Silicon micromechanical resonator with high quality factor fabricated by damage-free neutral beametching process , 第61回 応用物理学会春季学術講演会, 18a-E14-4 (相模原, 2014/03/18).
  446. 中山大樹,大野武雄,北城雅基,寒川誠二, Geゲートスタック形成のための酸素中性粒子ビームを用いたAl/Ge同時酸化プロセス, 第61回 応用物理学会春季学術講演会, 18p-D8-2 (相模原, 2014/03/18).
  447. Takeo Ohno and Seiji Samukawa, Tantalum Oxide Resistance Change Memory Formed by Neutral Beam Technique, 第61回 応用物理学会春季学術講演会, 18p-E1-8 (相模原, 2014/03/18).
  448. 伊藤 寿,桑原卓哉,樋口祐次,尾澤伸樹,寒川誠二,久保百司, 量子分子動力学法を用いたシリコン酸化膜のエッチングプロセスにおけるエッチャントの堆積機構に関する研究, 第61回 応用物理学会春季学術講演会, 18p-E14-19 (相模原, 2014/03/18).
  449. 久保田智広,佐藤充男,岩崎拓也,小野耕平,寒川誠二, オンウェハモニタリングによるプラズマエッチング形状異常予測, 第61回 応用物理学会春季学術講演会, 19p-F6-13 (相模原, 2014/03/19).
  450. エルマン モハマド,岡田 健,磯田大河,伊藤公平,山下一郎,寒川誠二, バイオテンプレートを用いた中性粒子ビーム加工によるGeナノディスク作製, 第61回 応用物理学会春季学術講演会, 19p-F6-14 (相模原, 2014/03/19).
  451. Halubai Sekhar ,久保田智広,岡田 健,太田実雄,藤岡 洋,寒川誠二, Damage-free AlGaN/GaN Recess-Gate Etching using Cl2 Neutral Beam, 第61回 応用物理学会春季学術講演会, 19p-F6-15 (相模原, 2014/03/19).
  452. Thomas Cedric,田村洋典,肥後昭男,岡田 健,寒川誠二, Activation energy measurement of chlorine neutral beam etching of GaAs, 第61回 応用物理学会春季学術講演会, 19p-F6-16 (相模原, 2014/03/19).
  453. 昌 錫江,菊池良幸,中野雅識,井上久美,末永智一,野沢俊久,寒川誠二, Conductive DLC Film Prepared by NBECVD for Bio-LSI Sensor, 第61回 応用物理学会春季学術講演会, 19p-E15-16 (相模原, 2014/03/19).
  454. 太田実雄,Halubai Sekhar,久保田智広,岡田 健,寒川誠二,藤岡 洋, 塩素中性粒子ビームを用いた窒化物薄膜のエッチング特性, 第61回 応用物理学会春季学術講演会, 19a-E13-10 (相模原, 2014/03/19).
  455. 田村洋典,セドリック トーマス,李 昌勇,肥後昭男,岡田 健,山下一郎,寒川誠二, PEG修飾フェリチンによる高密度分散配置GaAsナノディスクの作製, 第61回 応用物理学会春季学術講演会, 20a-E17-9 (相模原, 2014/03/20).
  456. 李 昌勇,肥後昭男,Cedric Thomas,田村洋典,吉川憲一,山下一郎,寒川誠二, Polyethylene glycol (PEG)を塗布した基板上のフェリチン2次元配列, 第61回 応用物理学会春季学術講演会, 20a-E17-10 (相模原, 2014/03/20).
  457. 昌 錫江,菊地良幸,中野雅識,井上久美,末永智一,野沢俊久,寒川誠二, Conductive DLC Film Deposition by Low Temperature Neutral Beam Enhanced Chemical Vapor Deposition, 第61回 応用物理学会春季学術講演会, 20p-E2-2 (相模原, 2014/03/20).
  458. (招待講演) 寒川誠二, 超低損傷中性粒子ビームエッチングによるエッジを制御したグラフェンナノ構造の形成とデバイスへの展開, 応用物理学会シリコンテクノロジー分科会第172回研究集会, (つくば, 2014/05/23).
  459. 井上 久美、松平 昌昭、伊野 浩介、中野 将識、菅野 佑介、須田 篤史、國方 亮太、吉田 慎哉、早坂 丈、菊地 良幸、Xijiang Chang、久保田 智広、珠玖 仁、田中 秀治、寒川 誠二、末永 智一, アンペロメトリックバイオイメージングプラットフォーム「バイオLSI」, 第74回分析化学討論会, B2012 (郡山, 2014/05/25).
  460. (招待講演) 岡田健、寒川誠二, 中性粒子ビームによるグラフェンの微細加工, 電気学会マイクロマシン・センサシステム研究会, (東京, 2014/05/27).
  461. (招待講演) 寒川誠二, バイオテンプレ-ト極限加工による3次元量子構造の制御とデバイスへの展開, 電子情報技術産業協会量子ドット利用デバイス技術分科会, (東京, 2014/09/08).
  462. Xun Gu, 菊地良幸, 野沢俊久, 寒川誠二, A Metallic Complex Reaction Etching of Transition Metal by Low-temperature and Damage-free Neutral Beam Process (II), 第75回応用物理学会秋季学術講演会, 17a-S10-8 (札幌, 2014/09/17).
  463. 岡田健, CY Su, CH Huang, 寒川誠二, グラフェンドット作製と光学特性解析, 第75回応用物理学会秋季学術講演会, 17p-B1-11 (札幌, 2014/09/17).
  464. 倉光良明,東原敬,遠藤和彦,寒川誠二,昌原明植,森江隆, FinFET-ナノディスクアレイ構造デバイスによる時間軸での積和演算, 第75回応用物理学会秋季学術講演会, 18p-A16-1 (札幌, 2014/09/18).
  465. 東原敬,遠藤和彦,柳永勛,五十嵐誠,寒川誠二,昌原明植,森江隆, FinFET-ナノディスクアレイ構造結合のためのプロセス手法, 第75回応用物理学会秋季学術講演会, 18p-A16-2 (札幌, 2014/09/18).
  466. Chentir Mohamed-Tahar, Okada Takeru, Kawai Naoyuki, Wada Kazumi, Samukawa Seiji, Germanium Nano-Wires Fabrication And Size Control by Combined Top-Down Process Neutral Beam Etching And Hydrogen Radical Treatment, 第75回応用物理学会秋季学術講演会, 18p-A27-5 (札幌, 2014/09/18).
  467. Mohammad Maksudur Rahman, Takeru Okada, Akio Higo, Halubai sekhar, Noritaka Usami, Masakazu Sugiyama, Yoshiaki Nakano, and Seiji Samukawa, Optimization of Passivation Layer for a Quantum Dot Superlattice Fabricated with Bio-template and Neutral Beam Etching Technology for High Efficiency Solar Cell, 第75回応用物理学会秋季学術講演会, 18p-A27-6 (札幌, 2014/09/18).
  468. C. Thomas, C.Y. Lee, K. Yoshikawa, A. Higo, T. Kiba, I. Yamashita, A. Murayama, and S. Samukawa, Etching of InGaAs/GaAs layered structures by neutral beam etching for quantum dot laser applications, 第75回応用物理学会秋季学術講演会, 18p-A27-7 (札幌, 2014/09/18).
  469. 肥後昭男, 木場隆之,トーマス セドリック, 李昌勇, 吉川憲一, 田村洋典, 山下一郎, 王雲鵬, ハサネット・ソダーバンル, 杉山正和, 中野義昭, 村山明宏, 寒川誠二, バイオテンプレート極限加工によるInGaAs量子ナノディスクの光学特性評価, 第75回応用物理学会秋季学術講演会, 18p-A27-8 (札幌, 2014/09/18).
  470. 木場隆之,肥後昭男,田村洋典,セドリック トーマス,寒川誠二,村山明宏, バイオテンプレート極限加工GaAs量子ディスクにおけるピコ秒キャリア捕捉ダイナミクス, 第75回応用物理学会秋季学術講演会, 18p-A27-9 (札幌, 2014/09/18).
  471. 田中亨,木場隆之,肥後昭男,田村洋典,セドリック トーマス,寒川誠二,村山明宏, バイオテンプレート極限加工GaAs量子ディスクにおける電子スピンダイナミクスの磁場中反射ポンププローブ分光, 第75回応用物理学会秋季学術講演会, 18p-A27-10 (札幌, 2014/09/18).
  472. 李昌勇, 肥後昭男, 太田実雄, 山下一郎, 藤岡洋, 寒川誠二, バイオテンプレート極限加工による高密度InGaN/GaN量子ナノ構造の作製, 第75回応用物理学会秋季学術講演会, 18p-A27-11 (札幌, 2014/09/18).
  473. 榊原康明, 三ツ森章祥, 菊地良幸, 寒川誠二, RF印加電圧波形解析と中性粒子ビーム加速機構のモデル化, 第75回応用物理学会秋季学術講演会, 19a-S8-7 (札幌, 2014/09/19).
  474. 伊藤寿,桑原卓哉,樋口祐次,尾澤伸樹,寒川誠二,久保百司, 量子分子動力学法に基づくシリコン酸化膜のエッチングプロセスにおけるエッチャントの堆積メカニズムの解明, 第75回応用物理学会秋季学術講演会, 19a-A19-9 (札幌, 2014/09/19).
  475. Xijiang Chang, 菊地良幸, 久保田智広, 井上久美, 末永智一, 野沢俊久, 寒川誠二, DLC Film Deposited on Micro-Electrode with NBECVD for Bio-LSIs , 第75回応用物理学会秋季学術講演会, 19a-A2-10 (札幌, 2014/09/19).
  476. 久保田智広, 菊地良幸, 谷勛, 野沢俊久, 寒川誠二, 酸素・中性粒子ビームによる酸化・錯体反応を用いた遷移金属エッチングメカニズム, 第75回応用物理学会秋季学術講演会, 19p-S10-14 (札幌, 2014/09/19).
  477. 久保田智広, 渡辺尚貴, 大塚晋吾, 岩崎拓也, 小野耕平, 入江康郎, 寒川誠二, 第一原理理論計算による中性粒子ビーム生成メカニズムの定量的予測, 第75回応用物理学会秋季学術講演会, 19p-S10-15 (札幌, 2014/09/19).
  478. (招待講演) 寒川 誠二、肥後 昭男、木場 隆之、山下一郎、村山明宏、伊藤公平, バイオテンプレート極限加工による3 次元量子構造の制御と新機能発現, 日本学術振興会ワイドギャップ半導体光・電子デバイス第162委員会第93回研究会, (東京, 2015/03/06).
  479. 李 昌勇、肥後 昭男、Thomas Cedric、新村 忠、寒川 誠二, 中性粒子ビームエッチングとプラズマエッチングにおけるAlGaNのエッチング表面状態の比較, 第62回 応用物理学会春季学術講演会, 11p-A21-5 (平塚, 2015/03/11).
  480. 李 昌勇、肥後 昭男、太田 実雄、藤岡 洋、寒川 誠二, 中性粒子ビームによるAlGaN/GaN HEMTリセスゲート構造の作製, 第62回 応用物理学会春季学術講演会, 11p-A21-6 (平塚, 2015/03/11).
  481. 榊原康明、菊地良幸、寒川誠二, RF印加電圧波形解析と中性粒子ビーム加速機構のモデル化2, 第62回 応用物理学会春季学術講演会, 11p-A27-1 (平塚, 2015/03/11).
  482. 肥後昭男、木場隆之、Thomas Cedric、王雲鵬、山下一郎、杉山正和、中野義昭、村山明宏、寒川誠ニ, バイオテンプレート極限加工によるGaAs量子ナノディスクLEDの試作 , 第62回 応用物理学会春季学術講演会, 12p-A25-7 (平塚, 2015/03/12).
  483. 藤井 拓也、磯田 大河、シェンティエル モハメッド タハール、遠藤 広考、伊藤 公平、寒川 誠二, バイオテンプレート極限加工を用いたGeナノディスクの作製と光学特性, 第62回 応用物理学会春季学術講演会, 12a-A17-1 (平塚, 2015/03/12).
  484. 岡田健、寒川誠二, 窒素中性粒子ビームによる窒素ドープグラフェンの構造制御, 第62回 応用物理学会春季学術講演会, 12a-D7-5 (平塚, 2015/03/12).
  485. YinHsien Su, JiaNan Shih, Tomohiro Kubota, WenHsi Lee, YingLang Wang, and Seiji Samukawa, Patterning of High-k/metal gate Stack by Neutral Beam Etching Technique for Sub-20 nm CMOS Technology, 第62回 応用物理学会春季学術講演会, 13a-A24-11 (平塚, 2015/03/13).
  486. 大野武雄、寒川誠二, 極薄Ta2O5膜の抵抗変化型メモリへの適用, 第62回 応用物理学会春季学術講演会, 13p-P5-2 (平塚, 2015/03/13).
  487. 久保田智広、菊地良幸、寒川誠二, 酸素中性粒子ビームによる酸化及び錯体反応を用いた遷移金属エッチングメカニズム(2), 第62回 応用物理学会春季学術講演会, 14a-A27-6 (平塚, 2015/03/14).
  488. C. Thomas, C.Y. Lee, A. Higo, N. Okamoto, I. Yamashita, S. Samukawa, InGaAs quantum dot fabrication by a top-down approach for optical devices applications: effect of nanoscale etching mask, 第62回 応用物理学会春季学術講演会, 14a-A27-3 (平塚, 2015/03/14).
  489. (招待講演) 寒川 誠二, 未到エネルギーへの挑戦=未到エネルギー研究センターの試み=, 第3回国連防災世界会議パブリック・フォーラム・レジリエンス・ワークショップ~しなやかな防災・減災を実現する科学技術と社会実装~, (仙台, 2015/03/16).
  490. (招待講演) 寒川 誠二, 超先端微細加工技術・中性粒子ビームプロセス=ナノデバイスに要求される原子層エッチング・堆積・表面改質プロセス=, CFD-ACE+マルチフィジックス シミュレーションセミナー, (東京, 2015/05/28).
  491. Cedric Thomas, Akio Higo, Takeru Okada, Seiji Samukawa, Insights of neutral beam etching of GaAs materials for quantum nanodisks fabrication, 第76回応用物理学会秋季学術講演会, 13p-1F-7 (名古屋, 2015/09/13).
  492. 岡田健、寒川誠二, 水素中性粒子ビームによる水素化グラフェンの形成, 第76回応用物理学会秋季学術講演会, 14p-2T-20 (名古屋, 2015/09/14).
  493. YICHUN LAI, Akio Higo, Chang Yong Lee, Cedric Thomas, Takayuki Kiba, Shula Chen, Tomoyuki Tanikawa, Shigeyuki Kuboya, Ryuji Katayama, Kanako Shojiki, Peichen Yu, Ichiro Yamashita, Akihiro Murayama, Seiji Samukawa, Fabrication of InGaN/GaN Quantum Nanodisks for LED by Combination of Bio-template and Neutral Beam Etching, 第76回応用物理学会秋季学術講演会, 14a-4D-2 (名古屋, 2015/09/14).
  494. 菊池 亜紀応、八尾 章史、毛利 勇、中村 雅一、山下 一郎、澤野 憲太郎、寒川 誠二、小野 崇人, 中性粒子ビームエッチング技術を用いたSi量子ドットの作製と熱伝導特性, 第76回応用物理学会秋季学術講演会, 14a-2Q-9 (名古屋, 2015/09/14).
  495. 大堀 大介、近藤 清文、トーマス セドリック、肥後 昭男、寒川 誠二、碇 哲雄、福山 敦彦, バイオナノテンプレートと中性粒子ビームを用いたGaAs量子ナノピラーの発光再結合過程, 第76回応用物理学会秋季学術講演会, 14a-4D-1 (名古屋, 2015/09/14).
  496. 藤井 拓也、澤野 憲太郎、寒川 誠二, バイオテンプレート極限加工によるSi1-xGexナノディスクの作製と特性評価, 第76回応用物理学会秋季学術講演会, 15a-1E-2 (名古屋, 2015/09/15).
  497. 久保田智広、菊地良幸、野沢俊久、伊藤寿、久保百司、寒川誠二, 酸素中性粒子ビームによる酸化及び錯体反応を用いた遷移金属エッチングメカニズム(3), 第76回応用物理学会秋季学術講演会, 15a-2Q-11 (名古屋, 2015/09/15).
  498. 榊原 康明、菊地 良幸、寒川 誠二, 圧力による中性粒子ビームエネルギーへの影響, 第76回応用物理学会秋季学術講演会, 15a-2V-5 (名古屋, 2015/09/15).
  499. Halubai Sekhar, Hitoshi Sai, Aiko Sato, Mohammad Maksudur Rahman, Tomohiro Kubota, Seiji Samukawa, Hidetaka Takato, Michio Kondo, Damage free neutral beam texturing of honeycomb pattern for the application of light trapping in Si Solar cells, 第76回応用物理学会秋季学術講演会, 15p-2R-5 (名古屋, 2015/09/15).
  500. Halubai Sekhar, Yasuhiro Kida, Mohammad Maksudur Rahman, Tomohiro Kubota, Seiji Samukawa, Hidetaka Takato, Michio Kondo, Nano holes on micro pyramids: modulated surface texturing with neutral beam for the application of optical trapping in Si Solar cells, 第76回応用物理学会秋季学術講演会, 15p-PA4-5 (名古屋, 2015/09/15).
  501. 肥後昭男、木場隆之、トーマス セドリック、中村洋典、高山淳一、山下一郎、王雲鵬、ハサネット ソダーバンル、杉山正和、中野義昭、村山明宏、寒川誠二, Bio-nano-templateと中性粒子ビームエッチングを用いたInGaAs/GaAs量子ナノディスクLEDの設計と試作, 第32回「センサ・マイクロマシンと応用システム」シンポジウム, 30am2-PS-128 (新潟, 2015/10/30).
  502. 大野武雄、寒川誠二, Ta2O5-Based Redox Memory Formed by Neutral Beam Oxidation , 第28回マイクロプロセス・ナノテクノロジー国際会議, 12C-6-2 (富山, 2015/11/12).
  503. Akio Higo, Cedric Thomas, Chang Yong Lee, Takayuki Kiba, Shula Chen, Tomoyuki Tanikawa, Shigeyuki Kuboya, Ryuji Katayama, Kanako Shojiki, Ichiro Yamashita, Akihiro Murayama, Seiji Samukawa, InGaN Quantum Nanodisks by Fusion of Bio-nano-template and Neutral Beam Etching processes, 第63回 応用物理学会春季学術講演会, 19a-S224-7 (東京, 2016/03/19).
  504. Cedric Thomas、Akio Higo、Takayuki Kiba、Yosuke Tamura、Junichi Takayama、Naofumi Okamoto、Ichiro Yamashita、Akihiro Murayama、Seiji Samukawa, Temperature Dependent Operation of Different AlGaAs barrier Matrix and GaAs Quantum Nanodisks LED, 第63回 応用物理学会春季学術講演会, 19a-S224-8 (東京, 2016/03/19).
  505. 菊池亜紀応、八尾章史、毛利勇、寒川誠二、小野崇人, バイオテンプレート極限加工技術を用いたSiナノピラーの作製と熱伝導率の測定, 第63回 応用物理学会春季学術講演会, 19p-W834-9 (東京, 2016/03/19).
  506. 久保田智広、伊藤寿、久保百司、寒川誠二, 中性粒子ビーム励起錯体反応による遷移金属エッチングメカニズムのTight-binding量子分子動力学法を用いた検討, 第63回 応用物理学会春季学術講演会, 19p-W621-11 (東京, 2016/03/19).
  507. 李恩慈、野田周一、水林亘、遠藤和彦、寒川誠二, 塩素中性粒子ビームを用いたGe Finトランジスタ・チャネル加工, 第63回 応用物理学会春季学術講演会, 19p-S423-15 (東京, 2016/03/19).
  508. 久保田智広、菊地良幸、伊藤寿、久保百司、寒川誠二, 中性粒子ビーム励起錯体反応を用いた遷移金属エッチングにおける吸着分子の影響, 第63回 応用物理学会春季学術講演会, 21p-P5-2 (東京, 2016/03/21).
  509. 近藤清文、大堀大介、境健太郎、トーマス セドリック、肥後昭男、寒川誠二、前田幸治、碇哲雄、福山篤彦, 中性粒子ビームとバイオナノテンプレートを用いて作製したGaAs量子ナノディスクのキャリア再結合とその埋め込み効果, 第63回 応用物理学会春季学術講演会, 21p-S423-17 (東京, 2016/03/21).
  510. 邉見ふゆみ、Thomas Cedric、Lai Yi-Chun、肥後 昭男、Guo Alex、Warnock Shireen、del Alamo Jesus A.、寒川誠二、尾辻泰一、末光哲也, 中性粒子ビームエッチングによるAlGaN/GaN HEMTの素子間リーク電流抑制効果, 第63回 応用物理学会春季学術講演会, 22a-W541-9 (東京, 2016/03/22).
  511. Halubai Sekhar, Tomohiro Kubota, Yasuhiro Kida, Tetsuo Fukuda, Katsuto Tanahashi, Hidetaka Takato, Michio Kondo, Seiji Samukawa, Mask less neutral beam texturing to make nano holes on micro pyramids; broadband optical light trapping in thin wafer based Si (<100 μm) solar cells, 第63回 応用物理学会春季学術講演会, 22a-W321-16 (東京, 2016/03/22).
  512. (招待講演) 寒川誠二, 中性粒子ビームプロセスによる原子層エッチング、表面改質、堆積技術とナノデバイス開発, 応用物理学会九州支部特別講演会, (九州大学, 2016/7/8).
  513. (招待講演) 寒川誠二, 中性粒子ビームプロセスによる原子層エッチング、表面改質、堆積技術とナノデバイス開発, 北海道大学情報科学研究科特別講演会, (北海道大学, 2016/7/21).
  514. 久保田 智広、美山 遼、菊地 良幸、寒川 誠二, 中性粒子ビーム励起錯体反応を用いたCoFeBエッチング, 第77回応用物理学会秋季学術講演会, 13a-B9-9 (日本, 2016/09/13).
  515. 久保田智広、久保百司、寒川誠二, 中性粒子ビーム励起錯体反応を用いた Taエッチングのメカニズム, 第77回応用物理学会秋季学術講演会, 13a-B9-10 (日本, 2016/09/13).
  516. 大堀 大介 1, トーマス セト?リック 2, 肥後 昭男3, 寒川 誠二2,3, 碇 哲雄1, 福山 敦彦 1 , ハ?イオテンフ?レート極限加工を用いた GaAs 量子ナノテ?ィスクの発光再結合の温度依存性 , 第77回応用物理学会秋季学術講演会, 16a-P1-11 (日本, 2016/09/16).
  517. 野田周一1)、李恩慈1)、水林亘2)、遠藤和彦2)、寒川誠二1,2), 塩素中性粒子ビームによるGeエッチングメカニズムの検討, 2016年秋季 第77回応用物理学会学術講演会, 16a-B10-10 (新潟, 2016/09/16).
  518. 市川弘之1)、野田周一2)、真壁勇夫1)、井上和孝1)、肥後昭男3)、寒川誠二2,3), フッ素中性粒子ビームで処理したGaN HEMTエピ表面の分析, 2016年秋季 第77回応用物理学会学術講演会, 16p-B1-10 (新潟, 2016/09/16).
  519. 肥後 昭男, 木場 隆之, トーマス セドリック, 高山 純一, ソダーバンル ハサネット, 岡本 尚文, 山下 一郎, 杉山 正和, 中野 義昭, 村山 明宏, 寒川 誠二, バイオテンプレート極限加工による GaAs 量子ナノディスク LED のエレクトロルミネッセンス特性評価, 第77回 応用物理学会秋季学術講演会, 14p-P20-3 (新潟, 2016/09/14).
  520. 菊池 亜紀応 2,4, 八尾 章史 4, 毛利 勇 4, 小野 崇人 2, 寒川 誠二 1,3, 中性粒子ビームエッチング技術によるシリコンナノピラー -SiGe0.3 複合膜の作製と熱伝導率の評価, 第77回 応用物理学会秋季学術講演会, 14p-D62-12 (新潟, 2016/09/14).
  521. 邉見 ふゆみ, Thomas Cedric, Lai Yi-Chun, 肥後 昭男, Guo Alex, Warnock Shireen, del Alamo Jesus A., 寒川 誠二, 尾辻 泰一, 末光 哲也 , AlGaN/GaN HEMT における中性粒子ビームエッチングの素子間リーク電流および素子間耐圧への影響, 第77回 応用物理学会秋季学術講演会, 16p-B1-8 (新潟, 2016/09/16).
  522. (招待講演) 菊池亜紀応, 八尾章史, 毛利勇, 小野崇人, 山本 淳, 遠藤和彦, 寒川誠二, バイオテンプレート極限加工によるシリコンナノワイヤーの作製と熱電変換素子への展開, 第196回 応用物理学会シリコンテクノロジー分科会研究集会, 3 (つくば, 2016/11/14).
  523. 野田周一1)、李 耀仁2)、洪 子杰3)、薛 富國2,3)、李 義明3)、寒川誠二1,3), 中性粒子ビーム異方性室温酸化によるGeピーキングFin構造の作製, 第64回応用物理学会春季学術講演会, 17a-E203-3 (横浜, 2017/03/17).
  524. 野田周一1)、水林亘2)、菊池亜紀応1)、遠藤和彦2)、寒川誠二1,2), 中性粒子ビームエッチングによるGe Fin構造の作製, 第64回応用物理学会春季学術講演会, 17a-313-9 (横浜, 2017/03/17).
  525. 菊池亜紀応、八尾章史、毛利勇、山本淳、小野崇人、寒川誠二, パイオテンプレート極限加工を用いたSiナノ構造熱電モジュールの作製と評価, 第64回応用物理学会春季学術講演会, 15p-E206-12 (横浜, 2017/03/15).
  526. 大堀大介、石塚史典、トーマスセドリック、寒川誠二、碇哲雄、福山敦彦, ナノピラー中のナノディスク配列による発光再結合の直径依存性, 第78回応用物理学会 秋季学術講演会, 6a-A404-10 (博多, 2017/09/06).
  527. 岡田健、 今岡享稔、 山元公寿、 寒川誠二, 窒素中性粒子ビームによる窒化ガリウムの室温合成, 第78回応用物理学会 秋季学術講演会, 7p-A402-8 (博多, 2017/09/07).
  528. 三浦千穂、熊谷明哉、岡田健、寒川誠二、珠玖仁、高橋康史、末永智一, ナノ電気化学セル顕微鏡によるグラフェン/グラファイト構造における電気化学活性の局所電気化学測定と可視化 , 第78回応用物理学会 秋季学術講演会, 15p-B6-3 (博多, 2017/09/07).
  529. 菊池亜紀応、八尾章史、山本淳、小野崇人、寒川誠二, パイオテンプレート極限加工技術を用いたSi/SIGeナノワイヤーの作製と熱伝導率の評価, 第78回応用物理学会 秋季学術講演会, 7a-S44-9 (博多, 2017/09/07).
  530. 岡田健、Kalita Golap、種村眞幸、寒川誠二, グラフェンを用いた固液摩擦帯電におけるドーピング効果検証, 第78回応用物理学会 秋季学術講演会, 8a-C16-17 (博多, 2017/09/08).
  531. 野田周一、谷本陽佑、尾崎卓哉、栗原秀行、星野恭之、遠藤和彦、寒川誠 二, HBr中性粒子ビームによるGeエッチング特性の検討, 第78回応用物理学会 秋季学術講演会, 8a-C21-6 (博多, 2017/09/08).
  532. (招待講演) 寒川誠二, イントロダクトリートーク =最先端デバイスにおける低温化・低損傷化=, 応用物理学会 シリコンナノテクノロジー分科会 第203回研究集会「窒化物半導体パワーデバイスの研究動向」, (東京, 2017/11/16).
  533. (招待講演) 今岡享稔、岡田健、寒川誠二、山元公寿, 運動エネルギーで駆動される窒素固定反応によるGaNの室温合成, 応用物理学会 シリコンナノテクノロジー分科会 第203回研究集会「窒化物半導体パワーデバイスの研究動向」, (東京, 2017/11/16).
  534. (招待講演) 菊地 良幸, 寒川 誠二, GaNおよびInGaN薄膜の中性粒子ビーム原子堆積法による低温成膜, 応用物理学会 シリコンナノテクノロジー分科会 第203回研究集会「窒化物半導体パワーデバイスの研究動向」, (東京, 2017/11/16).
  535. 岡田健、 今岡享稔、 山元公寿、 寒川誠二, 塩化ガリウムを前駆体とする窒素中性粒子ビームによる窒化ガリウム室温合成, Plasma Conference 2017, 24P-42 (姫路, 2017/11/24).
  536. 志村実優、熊谷明哉、岡田健、三浦千穂、 寒川誠二、珠玖仁、高橋康史、末永智一, 金属/半導体単層カーボンナノチューブのナノ電気化学イメージング, 電気化学会東北支部第48回セミコンファレンス、第30回東北若手の会, (仙台, 2017/11/24).
  537. 三浦千穂、熊谷明哉、岡田健、寒川誠二、珠玖仁、高橋康史、永智一, ナノ電気化学セル顕微鏡を用いたグラフェンの電気化学応答評価, 電気化学会東北支部第48回セミコンファレンス、第30回東北若手の会, (仙台, 2017/11/24).
  538. (招待講演) 岡田健, Selective Formation of Nitrogen-Doped Graphene by Neutral Nitrogen Beam, 第27回日本MRS年次大会, A-I6-009 (横浜, 2017/12/06).
  539. 岡田 健、Golap Kalita、種村眞幸、山下一郎、M. Meyyappan、寒川 誠二, グラフェン-水界面の動電現象における窒素ドーピング効果, 第65回応用物理学会春季学術講演会, 18p-C202-3 (東京, 2018/03/18).
  540. 野田周一、谷本陽祐、尾崎卓哉、栗原秀行、星野恭之、遠藤和彦、寒川誠二, 中性粒子ビームエッチングによるGeエッチングメカニズムの検討, 第65回応用物理学会春季学術講演会, 19p-C204-1 (東京, 2018/03/19).
  541. 大堀大介、遠藤和彦、寒川誠二, 中性粒子ビームとバイオテンプレートを用いた高アスペクト比Siナノピラー構造の作製, 第65回応用物理学会春季学術講演会, 19p-C204-2 (東京, 2018/03/19).
  542. 寒川誠二, Atomic Layer Defect-free Ge Fin Fabrication by Neutral Beam Processes, 第65回応用物理学会春季学術講演会, (東京, 2018/03/19).
  543. Firman Mangasa Simanjuntak, Takeo Ohno and Seiji Samukawa, Neutral Oxygen Beam Surface Treatment Enabled Resistive Switching Characteristics in ZnO-based Conducting Bridge Random Access Memory, 第65回応用物理学会春季学術講演会, (東京, 2018/03/20).
  544. 志村 実優、熊谷 明哉、岡田 健、三浦 千穂、井田 大貴、寒川 誠二、珠玖 仁、高橋 康史、末永 智一, 電気化学イメージングによる金属/半導体カーボンナノチューブの酸化還元反応の検証, 電気化学会第85回大会, 1J12 (東京, 2018/03/09).
  545. 大堀 大介、寒川 誠二, 無欠陥配置制御 Si ナノピラー構造表面における撥水性の制御, 第79回応用物理学会秋季学術講演会, 18p-431B-9 (名古屋, 2018/09/18).
  546. 塩島 謙次、末光 哲也、尾崎 卓哉、寒川 誠二, 中性粒子ビームエッチングによりGaN表面に導入された損傷の界面顕微光応答法による2次元評価, 第79回応用物理学会秋季学術講演会, 18p-PA6-4 (名古屋, 2018/09/18).
  547. 大堀 大介、久保山 瑛哲、山本 淳、村田 正行、遠藤 和彦、寒川 誠二, 無欠陥Siナノピラー構造によるフォノン場制御と高移動度キャリア輸送, 第79回応用物理学会秋季学術講演会, 20p-211A-13 (名古屋, 2018/09/20).
  548. 陳 亜鳳、木場 隆之、高山 純一、肥後 昭男、谷川 智之、寒川 誠二、村山 明宏, トップダウンナノテクノロジーで作製したIn0.3Ga0.7Nナノディスクにおける光励起キャリアの熱脱離, 第79回応用物理学会秋季学術講演会, 20p-211A-8 (名古屋, 2018/09/20).
  549. 松田 真輝、大堀 大介、寒川 誠二、碇 哲雄、福山 敦彦, 無欠陥Siナノピラー構造のキャリア再結合過程評価, 第79回応用物理学会秋季学術講演会, 21p-PB4-2 (名古屋, 2018/09/21).
  550. 久保山瑛哲,山本淳,村田正行,遠藤和彦,大堀大介,寒川誠二, 3ω法によるSiナノピラー/Si70Ge30複合材料の熱伝導率測定, 第15回日本熱電学会学術講演会(TSJ2018), PS22 (仙台, 2018/09/13).
  551. (招待講演)寒川誠二, 無欠陥周期ナノ構造による半導体チャネルのフォノン場制御, 応用物理学会 シリコンナノテクノロジー分科会 第212回研究集会「半導体素子におけるフォノンのダイナミクスとエンジニアリング」, (東京, 2018/11/26).
  552. (招待講演)久保山瑛哲、山本淳、村田正行、遠藤和彦、大堀大介、寒川誠二, 3ω法によるナノスケール熱伝導率の測定とフォノン輸送の制御, 応用物理学会 シリコンナノテクノロジー分科会 第212回研究集会「半導体素子におけるフォノンのダイナミクスとエンジニアリング」, (東京, 2018/11/26).
  553. (招待講演)寒川誠二, バイオテンプレート極限加工による量子ナノ構造の作製と高効率エネルギーデバイスへの展開, 日本化学会 第7回E-colloid:先端エレクトロニクスのためのコロイド・界面化学・ナノ界面制御が担う次世代エレクトロニクス材料, (東京, 2018/12/11).
  554. (招待講演)寒川誠二, 中性粒子ビームによる原子層レベル超低損傷加工・表面改質=2次元材料グラフェンへの展開=, 酸化グラフェン研究会 第11回酸化グラフェンシンポジウム, (東京, 2018/12/21).
  555. 大堀 大介、久保山 英哲、村田 正行、山本 淳、野村 政宏、遠藤 和彦、寒川 誠二, Si ナノピラー構造の間隔制御することによる フォノン場制御とキャリア輸送特性性に与える影響, 第66回応用物理学会春季学術講演会, 9p-W371-7(東京工業大学 東京 2019/3/9).
  556. FIRMAN MANGASA SIMANJUNTAK、TAKEO OHNO、SEIJI SAMUKAWA, RF Sputtering Pressure Controlled Switching Characteristics of ZnO-based Flexible-Transparent Resistive Memory Devices, 第66回応用物理学会春季学術講演会, 10a-M103-5(東京工業大学 東京 2019/3/10).
  557. 菅原 健太、大堀 大介、井上 和孝、寒川 誠二, 塩素中性粒子ビームによる窒化ガリウム原子層エッチング, 第66回応用物理学会春季学術講演会, 10a-M121-9(東京工業大学 東京 2019/3/10).
  558. 竹内 聡、大堀 大介、石田 昌久、田中 麻美、曽田 匡洋、寒川 誠二, バイオテンプレート極限加工により作製した無欠陥配置制御Siナノピラー構造による表面撥水性の制御, 第66回応用物理学会春季学術講演会, 10a-W934-10(東京工業大学 東京 2019/3/10).
  559. HuaHsuan Chen、Daisuke Ohori、Takuya Ozaki、Mitsuya Utsuno、Tomohiro Kubota、Toshihisa Nozawa、Seiji Samukawa, High quality silicon dioxide by low temperature neutral beam enhanced atomic layer deposition, 第66回応用物理学会春季学術講演会, 11p-M136-2(東京工業大学 東京 2019/3/11).
  560. 大堀 大介、野田 周一、藤井 卓也、水林 亘、遠藤 和彦、Lee En-Tzu、Li Yiming、Lee Yao-Jen、尾崎 卓哉、寒川 誠二, 中性粒子ビームによるGe Fin構造の側壁エッチング特性の検討, 第66回応用物理学会春季学術講演会, 11p-W641-13(東京工業大学 東京 2019/3/11).
  561. (招待講演)寒川 誠二、大堀 大介、曽田、田中、石田、「バイオテンプレート極限加工により作製した無欠陥配置制御ナノピラー構造による表面濡れ性制御」ファインケミカルジャパン2019特別講演(東京、3/19/2019)
  562. 大堀 大介, 藤井 拓也, 野田 周一, 水林 亘, 遠藤和彦, Li Yiming, Lee Yao-Jen, 尾崎 卓哉, 寒川誠二 , HBr中性粒子ビームによるGe原子層無欠陥エッチングの検討, 第80回 応用物理学会秋季学術講演会, 18p-B11-12 (北海道札幌市, 2019/09/18).
  563. 野沢 善幸, リャオ ブライアン, 藤井 竜介, 速水 利泰, 大堀 大介, 野田 周一, 門井 幹夫, 石田 昌久, 田中 麻美, 曽田 匡洋, 遠藤 和彦,寒川誠二 , マスクレス超低損傷加工を実現する小型中性粒子ビームエッチング装置の開発, 第80回 応用物理学会秋季学術講演会, 18a-C309-1 (北海道札幌市, 2019/09/18).
  564. 門井 幹夫, 石田 昌久, 田中 麻美, 曽田 匡洋, 大堀 大介, 野田 周一, 野沢 善幸, リャオ ブライアン, 藤井 竜介, 速水 利泰, 遠藤 和彦, 寒川誠二 , マスクレス超低損傷加工を実現するバイオテンプレート形成装置の開発, 第80回 応用物理学会秋季学術講演会, 18a-C309-2 (北海道札幌市, 2019/09/18).
  565. 大堀 大介, 久保山 瑛哲, 村田 正行, 山本 淳, 野村 政宏, 遠藤 和彦, 寒川誠二 , Siナノピラー間隔変化による熱伝導率・電気伝導率の独立制御, 第80回 応用物理学会秋季学術講演会, 18p-E214-8 (北海道札幌市, 2019/09/18).
  566. Xin Huang, Ryoto Yanagisawa, Daisuke Ohori,SeijiSamukawa, Masahiro Nomura , Thermal Conductivity of Si Thin Film with Nanopillars, 第80回 応用物理学会秋季学術講演会, 18p-E214-9 (北海道札幌市, 2019/09/18).
  567. 竹内 聡, 大堀 大介, 石田 昌久, 田中 麻美, 曽田匡洋, 寒川誠二 , バイオテンプレート極限加工により作製した配置制御Siナノピラー構造による表面撥水性の制御, 第80回 応用物理学会秋季学術講演会, 18a-E303-5 (北海道札幌市, 2019/09/18).
  568. 岡田 健, カリタ ゴラップ, 種村 真幸, 山下 一郎, Ohuchi Fumio, Meyyappan M, 寒川誠二 , 固液界面動電現象における窒素ドープグラフェン結合状態の効果, 第80回 応用物理学会秋季学術講演会, 18p-E308-17 (北海道札幌市, 2019/09/18).
  569. 大堀 大介, 野田 周一, 野沢 善幸, リャオ ブライアン, 藤井 竜介, 速水 利泰, 門井 幹夫, 石田 昌久, 田中 麻美, 曽田 匡洋, 遠藤 和彦, 寒川誠二 , ミニマル中性粒子ビームエッチング装置の開発, 第80回 応用物理学会秋季学術講演会, 19p-E304-3 (北海道札幌市, 2019/09/19).
  570. HuaHsuan Chen, Bei Bei Ge, Susumu Toko1,Daisuke Ohori, Takuya Ozaki, Tomohiro Kubota,Mitsuya Utsuno, Toshihisa Nozawa,SeijiSamukawa , Effect of Hydrogen Neutral Beam Treatment on Atomic layer deposition SiO2, 第80回 応用物理学会秋季学術講演会, 19p-E305-5 (北海道札幌市, 2019/09/19).
  571. 王 学論,朱 俊, 高橋 言緒, 遠藤 和彦, 大堀 大介, 寒川誠二 , 中性粒子ビームエッチング法による高効率GaNマイクロLEDの作製, 第80回 応用物理学会秋季学術講演会, 19a-E310-9 (北海道札幌市, 2016/09/19).
  572. (招待講演)菅原 健太, 岡田 政也, 市川 弘之, 井上 和孝, 大堀 大介,寒川誠二 , 塩素中性粒子ビームエッチングによるGaN HEMT高信頼化, 第80回 応用物理学会秋季学術講演会, 20p-E301-8 (北海道札幌市, 2019/09/20).
  573. Kexiong Zhang, T. Takahashi, N. Kumagai, G.W. Cong, D. Ohori, K. Endo, M. Shimizu, SeijiSamukawa, X. L. Wang , High efficiency neutral-beam-etched nanodisk of InGaN/GaN MQWs buried with regrown GaN, 第80回 応用物理学会秋季学術講演会, 20p-E310-13 (北海道札幌市, 2019/09/20).
  574. (招待講演) 寒川誠二, GaN系材料の原子層無損傷加工技術, 応用物理学会 シリコンナノテクノロジー分科会 第220回研究集会「マイクロLED技術の現状と今後の展開」, (東京, 2019/11/18).
  575. (招待講演)寒川誠二、グリーンナノテクノロジーが拓く、スマート社会を実現する革新的ナノデバイス, 自動車技術会東北支部新春特別講演会(仙台、2020/1/11)
  576. (招待講演) 寒川誠二、無欠陥ナノ周期構造による半導体チャネルのフォノン場制御, 宮崎大学未来エネルギープロジェクト講演会,(宮崎、2020/2/20)
  577. 竹内 聡,大堀 大介,石田 昌久,曽田 匡洋,遠藤和彦,寒川誠二, バイオテンプレート極限加工により作製したSiナノピラー構造設計による表面撥水性の制御, 第67回 応用物理学会春季学術講演会, 12a-A202-3 (上智大学 東京, 2020/03/12.
  578. 澤田 尭廣,大堀 大介,菅原 健太,岡田 政也,井上 和孝,佐藤 大輔,栗原 秀行,寒川誠二, 中性粒子ビームによるGaN加工基礎特性, 第67回 応用物理学会春季学術講演会, 12p-B401-2 (上智大学 東京, 2020/03/12).
  579. Aditya Saha、Daisuke Ohori、Susumu Toko、Sou Takeuchi、Seiji Samukawa, Morphology of Spin-coated PEDOT:PSS on Neutral Beam etched Silicon nanopillar surface for Hybrid Solar Cells, 第67回 応用物理学会春季学術講演会,12p-A402-6 (上智大学 東京, 2020/03/12).
  580. Kexiong Zhang、T. Takahashi、D. Ohori、N. Kumagai、G. W. Cong、K. Endo、M. Shimizu、S. Samukawa、X. L. Wang, Investigation of GaN regrowth on InGaN/GaN Nanodisks Fabricated by Neutral Beam Etching, 第67回 応用物理学会春季学術講演会,13p-PB1-10(上智大学 東京, 2020/03/13).
  581. 大堀 大介,竹内 聡,石田 昌久,曽田 匡洋,遠藤 和彦,寒川誠二, バイオテンプレート極限加工技術によって作製した石英上のナノピラー構造による撥水性の発現, 第67回 応用物理学会春季学術講演会, 14a-D311-5 (上智大学 東京, 2020/03/14).
  582. 紺野 太壱,大堀 大介,日高 睦夫,遠藤 和彦,向井 寛人,蔡 兆申,寒川誠二, 中性粒子ビームによるニオブ加工特性 , 第67回 応用物理学会春季学術講演会, 14a-B408-4 (上智大学 東京, 2020/03/14).
  583. HuaHsuan Chen、Beibei Ge、Daisuke Ohori、Tomohiro Kubota、Dai Ishikawa、Seiji Samukawa,Low Temperature Neutral Beam Enhanced Atomic Layer Deposition of Silicon Nitride , 第67回 応用物理学会春季学術講演会, 15a-A305-5(上智大学 東京, 2020/03/15).
  584. 原田 知季、安良田 裕基、大堀 大介、寒川誠二、碇 哲雄、福山 敦彦, シリコンナノピラー間隔変化による熱特性への影響, 第81回応用物理学会秋季学術講演会, 8a-Z09-5 (オンライン会議、2020/9/8).
  585. 紺野 太壱、大堀 大介、日高 睦夫、遠藤 和彦、向井 寛人、蔡 兆申、寒川誠二, 中性粒子ビームによるNb表面酸化膜組成がQ値に与える影響, 第81回応用物理学会秋季学術講演会, 8p-Z27-2 (オンライン会議、2020/9/8).
  586. 竹内 聡、大堀 大介、石田 昌久、曽田 匡洋、野沢 善幸、門井 幹夫、遠藤 和彦、寒川誠二, バイオテンプレート極限加工技術により作製したクォーツナノピラー構造の変化による表面撥水性の制御, 第81回応用物理学会秋季学術講演会, 9p-Z21-2 (オンライン会議、2020/9/9).
  587. 澤田 尭廣、大堀 大介、菅原 健太、岡田 政也、佐藤 大輔、栗原 秀行、寒川誠二, 中性粒子ビームによる無欠陥原子層GaN加工, 第81回応用物理学会秋季学術講演会, 10a-Z04-9 (オンライン会議、2020/9/10).
  588. Aditya Saha、Ohori Daisuke、Sasaki Takahiko、Itoh Keisuke、Toko Susumu、Seiji Samukawa, Optical and Electrical Characterization of Doped PEDOT:PSS for Hybrid Solar Cell Applications, 第81回応用物理学会秋季学術講演会, 10a-Z11-3 (オンライン会議、2020/9/10).
  589. 都甲 将、寒川誠二、出口 雅志、鎌滝 晋礼、古閑 一憲、白谷 正治, プラズマ触媒反応系における触媒のCO2生成反応への影響, 第81回応用物理学会秋季学術講演会, 11a-Z05-2 (オンライン会議、2020/9/11).
  590. Ge Beibei、Hua-Hsuan Chen、Daisuke Ohori、Takuya Ozaki、Seiji Samukawa, Low Temperature Neutral Beam Enhanced Atomic Layer Deposition of Silicon Dioxide and Silicon Nitride, 第81回応用物理学会秋季学術講演会, 11a-Z10-8 (オンライン会議、2020/9/11).
  591. (招待講演)寒川誠二, 中性粒子ビームプロセスによる表面界面制御加工技術 量子ドットおよび超伝導材料への応用, 応用物理学会 シリコンナノテクノロジー分科会 第224回研究集会, 「量子コンピュータにおける半導体・デバイステクノロジー」講演4(オンライン会議、2020/11/13).
  592. 竹内聡、大堀大介、石田昌久、曽田匡洋、遠藤和彦、寒川誠二,バイオテンプレート極限加工により作製されたシリコンナノピラー構造における表面濡れ性の制御,第68回応用物理学会春季学術講演会,16p-Z25-2 (オンライン会議、2021/3/16).
  593. 大堀大介、野田周一、藤井卓也、水林亘、遠藤和彦、Li Yiming、Lee Yao-Jen、尾崎卓哉、寒川誠二,中性粒子ビーム加工による高移動度Ge FinFETの実現,第68回応用物理学会春季学術講演会,17p-Z26-7 (オンライン会議、2021/3/17).
  594. 大堀大介、竹内聡、佐藤旭、石田昌久、曽田匡洋、田中麻美、遠藤和彦、寒川誠二,フェリチンの2次元配列におけるグリセロール含有溶媒の効果,第68回応用物理学会春季学術講演会,18p-Z24-12 (オンライン会議、2021/3/18).
  595. 紺野太壱、大堀大介、日高睦夫、遠藤和彦、向井寛人、蔡兆申、寒川誠二,中性粒子ビームプロセスによるNb表面酸化膜制御とQ値に与える影響,第68回応用物理学会春季学術講演会,18p-Z27-7 (オンライン会議、2021/3/18).
  596. 澤田尭廣、大堀大介、菅原健太、岡田政也、中田健、井上和孝、佐藤大輔、寒川誠二,HBr中性粒子ビームによるGaN/SiNの原子層選択エッチング,第68回応用物理学会春季学術講演会,19p-Z25-10 (オンライン会議、2021/3/19).
  597. (招待講演)寒川誠二,Atomic Layer Defect-free Etching Processes for Future Nanoscale-devices,化学工学会反応工学部会 CVD反応分科会主催 第34回シンポジウム 「選択成長にむけた ALD/ALE 技術」,(Virtual Meeting,2021/8/4).
  598. (招待講演)張 文馨,洪 子杰,宋 柏融,入沢 寿史,石井裕之, 寒川誠二,李 耀仁,前田 辰郎, 転写技術による異種チャネル材料を集積したhCFET の開発, 応用物理学会 シリコンナノテクノロジー分科会 第231回研究集会, 「3/2nm世代hCFETにおける要素技術と今後の展開」講演2(オンライン会議、2021/11/25).
  599. (招待講演)寒川誠二, 原子層加工技術-中性粒子ビームによる原子層無欠陥加工技術とデバイスへの展-, 応用物理学会 シリコンナノテクノロジー分科会 第231回研究集会, 「3/2nm世代hCFETにおける要素技術と今後の展開」講演6(オンライン会議、2021/11/25).
  600. 竹内聡、大堀大介、石田昌久、田中麻美、遠藤和彦、寒川誠二, バイオテンプレート極限加工により作製されたナノピラー構造における撥水性の制御,第82回応用物理学会秋季学術講演会,10p-N304-3 (オンライン会議、2021/9/10).
  601. 澤田尭廣、石原崇寛、大堀大介、王学論、寒川誠二, HI中性粒子ビームによるInGaN反応性エッチング,第82回応用物理学会秋季学術講演会,11a-N305-11 (オンライン会議、2021/9/11).
  602. GE BEIBEI、Daisuke Ohori、Hua Hsuan Chen、Takuya Ozaki、Kazuhiko Endo、Seiji Samukawa, Low Temperature and High-Quality Neutral Beam Enhanced Atomic Layer Deposition of Hafnium Dioxide,第82回応用物理学会秋季学術講演会,12p-N102-12 (オンライン会議、2021/9/12).
  603. Aditya Saha、Daisuke Ohori、Seiji Samukawa, High-Quality Oxide Interfacial Layer for Silicon Organic Hybrid Solar Cell Applications,第82回応用物理学会秋季学術講演会,12a-N322-7 (オンライン会議、2021/9/12).
  604. 紺野太壱、大堀大介、日高睦夫、野田周一、遠藤和彦、向井寛人、朝永顕成、蔡兆申、寒川誠二, 中性粒子ビームにより制御されたNb電極表面酸化膜が超伝導共振器に与える影響,第82回応用物理学会秋季学術講演会,12p-N403-12 (オンライン会議、2021/9/12).
  605. 竹内聡、大堀大介、石田昌久、田中麻美、遠藤和彦、寒川誠二, 配置制御石英ナノ構造による表面濡れ性の制御, 第69回応用物理学会春季学術講演会, 22a-E105-1 (ハイブリッド会議 (青山学院大学 相模原キャンパス+オンライン)、2022/3/22).
  606. 紺野太壱、大堀大介、日高睦夫、野田周一、遠藤和彦、向井寛人、朝永顕成、蔡兆申、寒川誠二, 中性粒子ビーム酸化により制御されたNb酸化膜厚が超伝導共振器性能に与える影響, 第69回応用物理学会春季学術講演会, 23p-D214-13 (ハイブリッド会議 (青山学院大学 相模原キャンパス+オンライン)、2022/3/23).
  607. 大堀大介、Ge Beibei、Chen Yi-Ho、尾崎卓哉、遠藤和彦、Li Yiming、Tarng Jenn-Hwan、寒川誠二, 中性粒子ビーム原子層堆積法によるHfO2/SiO2膜の室温成長, 第69回応用物理学会春季学術講演会, 25p-E104-1 (ハイブリッド会議 (青山学院大学 相模原キャンパス+オンライン)、2022/3/25).
  608. 石原崇寛、澤田尭廣、大堀大介、王学論、遠藤和彦、名取伸浩、谷本陽祐、寒川誠二, ヨウ化水素中性粒子ビームによるInGaN, GaNエッチング特性, 第69回応用物理学会春季学術講演会, 25p-E203-10 (ハイブリッド会議 (青山学院大学 相模原キャンパス+オンライン)、2022/3/25).
  609. Aditya Saha、Sou Takeuchi、Daisuke Ohori、Takahiko Sasaki、Hidenori Okuzaki、Hirokazu Yano、Seiji Samukawa, Morphology and Effects of an S-PEDOT Film on Neutral Beam Etched Silicon Nanopillar Structures for Hybrid Solar Cells, 第69回応用物理学会春季学術講演会, 25p-E206-1 (ハイブリッド会議 (青山学院大学 相模原キャンパス+オンライン)、2022/3/25).
  610. 佐藤旭、大堀大介、Chuang Min-hui、村田正行、山本淳、Li Yiming、遠藤和彦、寒川誠二, Siナノピラー/SiGe複合膜構造のナノピラー間隔制御によるフォノン場への影響, 第83回応用物理学会秋季学術講演会, 22a-C102-6 (ハイブリッド会議 (東北大学 川内北キャンパス+オンライン), 2022/09/22).
  611. 大堀大介、Chen Yi-Ho、尾崎卓哉、遠藤和彦、Li Yiming、寒川誠二, 中性粒子ビーム原子層堆積法による室温成長HfO2/SiO2膜の電気特性, 第83回応用物理学会秋季学術講演会, 22p-A406-10 (ハイブリッド会議 (東北大学 川内北キャンパス+オンライン), 2022/09/22).
  612. Aditya Saha、Daisuke Ohori、Ryuji Oshima、Takeyoshi Sugaya、Kazuhiko Endo、Takahiko Sasaki、Keisuke Itoh、Hidenori Okuzaki、Seiji Samukawa, Neutral Beam Oxide Interfacial Layer for Reliable Silicon-Organic Back-Contact Solar Cell Applications, 第83回応用物理学会秋季学術講演会, 23p-B103-7 (ハイブリッド会議 (東北大学 川内北キャンパス+オンライン), 2022/09/23).
  613. 石原崇寛、大堀大介、王学論、遠藤和彦、名取伸浩、谷本陽祐、Li Yiming、寒川誠二, InGaN/GaNマイクロLEDのヨウ化水素(HI)中性粒子ビームエッチング, 第83回応用物理学会秋季学術講演会, 23p-C200-8 (ハイブリッド会議 (東北大学 川内北キャンパス+オンライン) 2022/09/23).
  614. 大堀大介、石原崇寬、王学論、名取伸浩、佐藤大輔、Li Yiming、遠藤和彦、寒川誠二, ヨウ化水素(HI)中性粒子ビームを用いたInGaN加工特性, 第70回応用物理学会春季学術講演会, 16p-B401-11 (ハイブリッド会議 (上智大学 四谷キャンパス+オンライン), 2023/03/16).
  615. 大堀大介、尾崎卓哉、Li Yiming、遠藤和彦、寒川誠二, 中性粒子ビーム原子層堆積法による HfO2/SiO2 界面制御, 第70回応用物理学会春季学術講演会, 17a-A205-4 (ハイブリッド会議 (上智大学 四谷キャンパス+オンライン), 2023/03/17).
  616. 原田知季、大堀大介、遠藤和彦、寒川誠二、碇哲雄、福山敦彦, ナノピラー間隔を変化させたSi-nanopillar/SiGe0.3複合膜の光ヘテロダイン光熱変位法によるキャリアライフタイム評価, 第70回応用物理学会春季学術講演会, 17a-D511-6 (ハイブリッド会議 (上智大学 四谷キャンパス+オンライン), 2023/03/17).
  617. Roman Anufriev、Daisuke Ohori、Yunhui Wu、Ryoto Yanagisawa、Laurent Jalabert、Seiji Samukawa、Masahiro Nomura, Impact of nanopillars on phonon and thermal transport in silicon membranes, 第70回応用物理学会春季学術講演会, 17a-D511-12 (ハイブリッド会議 (上智大学 四谷キャンパス+オンライン), 2023/03/17).

 

(7) 大学での特別講義講演

  1. 寒川誠二, プラズマエッチング技術の現状と課題, 慶応大学電気工学科特別講演 (慶應義塾大学, 神奈川, 1994)
  2. 寒川誠二, タイムモジュレーションプラズマによる高精度エッチング, 大阪府立大学ニューフロンティア材料研究会 (大阪府立大学, 大阪, 1995)
  3. 寒川誠二, 超LSIにおけるプラズマエッチングの現状と課題, 九州大学理工学研究科特別講演 (九州大学, 博多, 1995)
  4. 寒川誠二, 超LSI における微細加工技術とマイクロエレクトロニクス最前線, 慶応大学電子工学セミナー (慶應義塾大学, 神奈川, 1996)
  5. 寒川誠二, プラズマエッチング技術, 九州大学大学院特別講義 (九州大学, 博多, 1996)
  6. 寒川誠二, 超LSIにおけるプラズマエッチング技術, 防衛大学電気工学教室特別講義 (防衛大学, 神奈川, 1996)
  7. Seiji Samukawa, Pulse-time-modulated ECR Plasma discharge for highly selective, highly anisotropic and charge-free etching, 1996 Spring semester seminar series, The university of New Mexico (The university of New Mexico, Albuquerque, 1996)
  8. 寒川誠二, 放電周波数の効果とUHFプラズマによる高精度エッチング, 慶応大学プラズマエレクトロニクスセミナー (慶應義塾大学, 神奈川, 1997)
  9. 寒川誠二, ULSIデバイスの開発, 慶応大学理工学部気体電離工学、特別講義 (慶應義塾大学, 神奈川, 1997)
  10. 寒川誠二, Gbit級超LSIにおけるプラズマエッチング技術, 静岡大学理学部物理学科プラズマサイエンスセミナー (静岡大学理学部, 静岡, 1997)
  11. Seiji Samukawa, Effects of discharge frequency on EEDF and etching characteristics in high-density plasma, ERC for plasma-aided manufacturing seminar series, University of Wisconsin-Madison (University of Wisconsin-Madison , Madison, 1997)
  12. 寒川誠二, 放電周波数の効果とUHFプラズマによる高精度エッチング, 東北大学電気通信研究所講演 (東北大学電気通信研究所, 仙台, 1998)
  13. 寒川誠二, 超LSIデバイスにおけるエッチング高精度化へのチャレンジ, 東北大学流体科学研究所特別講演 (東北大学流体科学研究所, 仙台, 1998)
  14. 寒川誠二, 超LSIデバイスにおける微細加工技術, 慶応大学理工学部物理情報工学科特別講義 (慶應義塾大学, 神奈川, 1998)
  15. 寒川誠二, 新ガスケミストリーによる高精度酸化膜エッチング技術, 慶応義塾大学理工学部電子工学科特別講義 (慶應義塾大学, 神奈川, 1999)
  16. Seiji Samukawa, New Radical Injection Method for High-Performance and Charge-less Dielectric Etching, 1999 Spring Seminar, California Institute of Technology (California Institute of Technology, Panaseda, 1999)
  17. Seiji Samukawa, High-performance and Damage-free Etching Processes for Future ULSI Patterning, Seminar in Microelectronics Laboratories, Laboratoire D'Electronique De Technologie Et D'Instrumentation (Leti, Grenoble, 1999)
  18. 寒川誠二, 選択ラジカル生成法による高精度酸化膜エッチング, 慶応義塾大学理工学部プラズマエレクトロニクスセミナー (慶應義塾大学, 神奈川, 1999)
  19. Seiji Samukawa, New Radical Injection Method for High-Performance and Charge-less Dielectric Etching, Seminar in National Chiao Tung University (National Chiao Tung University, Hsin Chu, 2001)
  20. Seiji Samukawa, Advanced Plasma Etching and Fabrication of nano-structure, 台湾・成功大学セミナー (成功大学, 台南, 2004)
  21. Seiji Samukawa, Advanced Plasma Etching and Fabrication of nano-structure, 台湾・交通大学セミナー (交通大学, 新竹, 2004)
  22. Seiji Samukawa, Advanced Plasma Etching and Fabrication of nano-structure, 台湾大学セミナー (台湾大学, 台北, 2004)
  23. 寒川誠二, プラズマエッチングの最前線とナノ加工, 特別講義 (大阪大学大学院工学研究科, 大阪, 2005)
  24. 寒川誠二, 究極のトップダウン加工によるバイオテクノロジーとナノテクノロジーの融合, 慶應義塾大学COE先端科学技術教育プログラム (慶應義塾大学, 神奈川, 2006)
  25. 寒川誠二, 究極のトップダウン加工が拓く先端ナノデバイス, 慶應義塾大学・グローバルCOEセミナー (慶應義塾大学, 神奈川, 2007)
  26. 寒川誠二, Ultimate etching, deposition, and surface modification processes for future nano-scale devices, 台湾精華大学セミナー (精華大学,2010)
  27. Seiji Samukawa, Atomic Layer Defect-free etching technology and its application for high performance GaN HEMT, Workshop on NEMS/MEMS Antenna (台湾交通大学,2018)
  28. 寒川誠二, 原子層プロセスが拓く革新的ナノデバイス =プラズマ制御による表面界面欠陥制御と電子(正孔)、フォトン、フォノン、スピン制御への挑戦=, 五感応用デバイス研究開発センター (九州大学, 福岡, 2019/09/13)
  29. Seiji Samukawa, Atomic Layer Etching, Deposition & Modification Processes for Future Nanoscale-devices, Centre for Electronics Frontiers Webinar ,University of Southampton (University of Southampton, 06/23/2020)
  30. Seiji Samukawa, Atomic Layer Etching, Deposition & Modification Processes for Future Nanoscale-devices, IEEE NTC Distinguished Lecture in Joint Technical Seminar Program (Oregon IEEE Nano & Joint EPS/CAS Chapters),(Virtual Meeting, 10/16/2020)

 

(8) 論文賞、学会賞、その他受賞

  1. 寒川誠二、塚田勉, Outstanding Paper Awards, (International Microprocess and Nanotechnology Conference, 1997)
  2. 寒川誠二, 石黒賞(NEC功績賞), (日本電気株式会社, 1998)
  3. 寒川誠二、V. M. Donnelly, M. Malyshev, 解説論文賞, プラズマエッチングにおける放電周波数の効果とUHFプラズマによる高精度エッチング (応用物理学会, 2001)
  4. 寒川誠二, JJAP編集貢献賞, (応用物理学会, 2003/04/16)
  5. 寒川誠二, プラズマエレクトロニクス賞, (応用物理学会, 2004/03/30)
  6. 寒川誠二, 同窓生表彰, 半導体微細加工技術における革新的手法の提案と実用化 (慶應義塾大学理工学部, 2005/06/18)
  7. 寒川誠二, 第40回市村学術賞(功績賞), パルス時間変調プラズマによる超低損傷・超高精度微細加工技術の開発 (公益財団法人 新技術開発財団, 2008/04/25)
  8. 寒川誠二, ディスティングイッシュト・プロフェッサー, (東北大学, 2008)
  9. 寒川誠二, フェロー表彰, 超低損傷・超高精度微細加工技術の開発 (応用物理学会, 2008/09/02)
  10. 寒川誠二、陣内佛霖、小田史彦、森本幸裕, JJAP論文賞, Surface Reaction Enhancement by UV irradiation during Si Etching Process with Chlorine Atom Beam (応用物理学会, 2008/09/02)
  11. 寒川誠二, 科学技術分野の文部科学大臣表彰・科学技術賞(研究部門), 半導体デバイス特性の劣化を防ぐ超高精度加工技術の研究 (文部科学省, 2009/04/14)
  12. 寒川誠二, フェロー表彰, Development of innovative plasma sources and damages free pulsed plasma processing techniques (American Vacuum Society (AVS), 2009/11/11)
  13. 寒川誠二、陣内佛霖、大竹浩人、橋本潤、市橋由成、折田敏幸, プラズマエレクトロニクス賞, On-wafer monitoring of charge accumulation and sidewall conductivity in high-aspect-ratio contact holes during SiO2 etching process (応用物理学会, 2010/03/17)
  14. 寒川誠二, 共同研究賞, 超低誘電率層間絶縁膜形成技術に関する研究 (STARC, 2010/08/26)
  15. 寒川誠二、黄啓賢、五十嵐誠、Michel Wone、浦岡行治、冬木隆、山下一郎、竹口雅樹, 優秀論文賞, Two-Dimensional Si-Nanodisk Array Fabricated Using Bio-Nano-Process and Neutral Beam Etching for Realistic Quantum Effect Devices (応用物理学会, 2010/09/14)
  16. 寒川誠二, Plasma Prise, For ground-breaking contributions to the development of innovative plasma sources that have advanced the discipline of plasma materials processing (American Vacuum Society (AVS), 2010/10/19)
  17. 寒川誠二, ディスティングイッシュト・プロフェッサー, (東北大学, 2011)
  18. 寒川誠二, Senior Member, (Institute of Electrical and Electronics Engineers (IEEE), 2012/06/30)
  19. Mohammad Maksudur Rahman, Makoto Igarashi, Weiguo Hu, Mohd Erman Syazwan, Takeru Okada, Yusuke Hoshi, Noritaka Usami, and Seiji Samukawa, Best Poster Award, Effect of Miniband Formation in a Quantum Dot Super Lattice Fabricated by Combination of Bio-Template and Neutral Beam Etching for High Efficiency Quantum Dot Solar Cells (The 40th IEEE Photovoltaic Specialists Conference, 2014/06/10)
  20. Ming-Yi Lee, Yi-Chia Tsai, Yiming Li, Seiji Samukawa, Best Paper Award, Miniband Dependence on the Density of Ge/Si Quantum Dots for Solar Cell Application (International Electron Devices and Materials Symposium 2015, 2015/11/20)
  21. En-Tzu Lee, Shuichi Noda, Wataru Mizubayashi, Kazuhiko Endo, Seiji Samukawa, Best Paper Award, Defect-free Germanium Etching for 3D Fin MOSFET using Neutral Beam Etching (IEEE 16th International Conference on Nanotechnology, 2016/08/25)
  22. Seiji Samukawa, Fellow Award, For contributions to damage-free plasma processing for nano-device manufacturing (Institute of Electrical and Electronics Engineers (IEEE), 2018/01/01)
  23. 寒川誠二, フェロー表彰, (IEEE, 2017)
  24. 寒川誠二, IEEE Distinguished Lecturers 2019「Creating Green Nanostructures and Nanomaterials for Advanced Energy Nanodevices」, (IEEE, 2019)

 

(9) 登録特許リスト

 

9-1. 日本国内

被引用回数調査日: 2014/4/2

申請中の特許(公開のみ)を含む

  1. 寒川誠二, 特願昭57-205972, ドライエッチング装置 (被引用回数: 1 )
  2. 寒川誠二, 特願昭57-187019, ドライエッチング装置 (被引用回数: 1 )
  3. 寒川誠二, 特願昭57-184851, ドライエッチング装置 (被引用回数: 0 )
  4. 寒川誠二, 特願昭57-82585, ドライエッチング装置 (被引用回数: 1 )
  5. 寒川誠二, 特願昭58-210325, ドライエッチング方法 (被引用回数: 3 )
  6. 寒川誠二, 特願昭58-166562, ドライエッチング装置 (被引用回数: 6 )
  7. 寒川誠二, 特願昭58-153873, 半導体装置の製造方法 (被引用回数: 4 )
  8. 寒川誠二, 特願昭59-210705, 半導体装置の製造方法 (被引用回数: 1 )
  9. 寒川誠二, 特願昭60-185307, 半導体装置の製造方法 (被引用回数: 6 )
  10. 寒川誠二, 特願昭60-185306, 半導体装置の製造方法 (被引用回数: 2 )
  11. 寒川誠二, 特願昭60-185305, 半導体装置の製造方法 (被引用回数: 0 )
  12. 寒川誠二, 特願昭60-117103, 半導体装置の製造方法 (被引用回数: 1 )
  13. 寒川誠二, 特願昭60-085807, 素子分離領域の形成方法 (被引用回数: 2 )
  14. 寒川誠二、望月晃, 特願昭60-061302, 半導体装置の製造方法 (被引用回数: 2 )
  15. 寒川誠二, 特願昭60-022844, ドライエッチング方法 (被引用回数: 2 )
  16. 寒川誠二, 特許1912678, 特願昭60-293551, 半導体装置の製造方法 (被引用回数: 2 )
  17. 寒川誠二, 特許1896114, 特願昭61-069254, ドライエッチング方法 (被引用回数: 1 )
  18. 寒川誠二, 特願昭61-095554, 半導体装置の製造方法 (被引用回数: 1 )
  19. 寒川誠二, 特願昭61-148565, 半導体装置の製造方法 (被引用回数: 0 )
  20. 寒川誠二, 特許1908521, 特願昭61-170231, 半導体装置の製造方法 (被引用回数: 5 )
  21. 寒川誠二, 特願昭61-178843, 半導体装置の製造方法 (被引用回数: 3 )
  22. 寒川誠二, 特許1948132, 特願昭61-213156, ドライエッチング方法 (被引用回数: 1 )
  23. 寒川誠二, 特許1992686, 特願昭61-221092, ドライエッチング方法 (被引用回数: 0 )
  24. 寒川誠二, 特許1977098, 特願昭61-258907, 素子分離領域の形成方法 (被引用回数: 0 )
  25. 寒川誠二, 特願昭61-282716, ドライエッチング装置 (被引用回数: 0 )
  26. 寒川誠二, 特願昭61-314855, ドライエッチング装置 (被引用回数: 1 )
  27. 寒川誠二, 特願昭62-075318, ドライエッチング方法 (被引用回数: 0 )
  28. 寒川誠二, 特願昭62-085054, ドライエッチング方法 (被引用回数: 0 )
  29. 寒川誠二, 特願昭62-172131, 半導体装置の製造方法 (被引用回数: 2 )
  30. 寒川誠二, 特願昭62-190097, 半導体装置の製造方法 (被引用回数: 1 )
  31. 寒川誠二, 特願昭62-248317, 平行平板電極型プラズマエッチング装置 (被引用回数: 2 )
  32. 寒川誠二, 特願昭63-025261, ドライエッチング装置 (被引用回数: 3 )
  33. 宮崎紳一、寒川誠二, 特願昭63-034445, 半導体集積回路装置の製造方法 (被引用回数: 4 )
  34. 寒川誠二、隣真一, 特許1954103, 特願昭63-036944, ドライエッチング方法 (被引用回数: 0 )
  35. 寒川誠二, 特願昭63-092516, ECRプラズマエッチング装置 (被引用回数: 1 )
  36. 寒川誠二, 特許2099974, 特願昭63-155498, 半導体装置の製造方法 (被引用回数: 2 )
  37. 森澄雄、佐々木正巳、鵜飼勝三、寒川誠二, 特願昭63-173189, マイクロ波プラズマ処理装置 (被引用回数: 3 )
  38. 寒川誠二, 特願昭63-309580, 反応性イオンエッチング装置 (被引用回数: 1 )
  39. 寒川誠二, 特願昭63-310911, マイクロ波プラズマ処理装置 (被引用回数: 1 )
  40. 寒川誠二, 特願平01-009365, ドライエッチング方法 (被引用回数: 3 )
  41. 寒川誠二、佐々木正巳、森澄雄, 特願平01-074316, マイクロ波プラズマ処理装置 (被引用回数: 2 )
  42. 寒川誠二, 特許2623827, 特願平01-118237, マイクロ波プラズマ処理装置 (被引用回数: 0 )
  43. 寒川誠二, 特願平01-193749, 反応性イオンエッチング装置 (被引用回数: 0 )
  44. 寒川誠二, 特許2634910, 特願平01-196154, マイクロ波プラズマ処理装置 (被引用回数: 2 )
  45. 寒川誠二, 特許2105177, 特願平01-212277, 半導体装置の製造方法 (被引用回数: 2 )
  46. 寒川誠二, 特願平01-339682, 半導体装置の製造方法 (被引用回数: 4 )
  47. 佐々木正巳、森澄雄、寒川誠二, 特願平02-009424, マイクロ波プラズマ処理装置 (被引用回数: 0 )
  48. 寒川誠二, 特許2811880, 特願平02-058606, ドライエッチング方法 (被引用回数: 0 )
  49. 寒川誠二, 特願平02-058608, ECRプラズマエッチング方法 (被引用回数: 0 )
  50. 寒川誠二, 特願平02-118168, マイクロ波プラズマエッチング方法 (被引用回数: 3 )
  51. 寒川誠二、佐々木正巳、鈴木康浩, 特願平02-126216, マイクロ波プラズマエッチング装置及びその方法 (被引用回数: 3 )
  52. 寒川誠二, 特許3071450, 特願平02-220191, マイクロ波プラズマ処理装置 (被引用回数: 1 )
  53. 寒川誠二、中川行人、和仁悦夫、内原晋, 特願平03-090259, プラズマエッチング装置 (被引用回数: 0 )
  54. 寒川誠二, 特願平03-198994, ECRプラズマエッチング装置 (被引用回数: 0 )
  55. 寒川誠二, 特願平03-214921, マイクロ波プラズマ処理装置 (被引用回数: 1 )
  56. 寒川誠二, 特願平03-227603, ECRプラズマエッチング装置 (被引用回数: 1 )
  57. 寒川誠二, 特願平04-017396, エッチング方法 (被引用回数: 1 )
  58. 寒川誠二, 特許2827660, 特願平04-029820, マイクロ波プラズマ処理方法 (被引用回数: 0 )
  59. 寒川誠二, 特願平04-061627, ECRプラズマエッチング装置 (被引用回数: 4 )
  60. 石田寿則、寒川誠二、中村強, 特願平04-082360, マイクロ波プラズマ処理装置 (被引用回数: 2 )
  61. 寒川誠二, 特願平04-201689, ECRプラズマ処理装置 (被引用回数: 1 )
  62. 寒川誠二, 特許2639292, 特願平04-319595, ECRプラズマ処理装置 (被引用回数: 2 )
  63. 寒川誠二, 特許2972477, 特願平05-029613, RF・ECRプラズマエッチング装置 (被引用回数: 7 )
  64. 寒川誠二、落合幸徳、松井真二, 特許2606551, 特願平05-122186, 中性粒子ビームエッチング装置 (被引用回数: 1 )
  65. 寒川誠二, 特許2957403, 特願平05-334488, プラズマエッチング方法とその装置 (被引用回数: 9 )
  66. 寒川誠二、篠原己拔、松本博文、塚田勉, 特許2641390, 特願平06-122978, プラズマ処理装置 (被引用回数: 11 )
  67. 寒川誠二, 特許2845163, 特願平07-115655, プラズマ処理方法及びその装置 (被引用回数: 15 )
  68. 木下啓蔵、寒川誠二、三重野哲, 特許2820083, 特願平07-289723, 質量分析装置及びラジカル計測方法 (被引用回数: 0 )
  69. 木下啓蔵、寒川誠二, 特許2842344, 特願平07-295132, 中性粒子ビーム処理装置 (被引用回数: 13 )
  70. 寒川誠二、塚田勉、中川行人、篠原己拔、上山寛幸, 特許3739137, 特願平08-177361, プラズマ発生装置及びこのプラズマ発生装置を使用した表面処理装置 (被引用回数: 15 )
  71. 寒川誠二、中川行人、佐藤久明、塚田勉、篠原己拔、新村保夫, 特願平08-307208, プラズマ処理装置 (被引用回数: 6 )
  72. 寒川誠二、塚田勉、中川行人、篠原己拔、松本博文、上山寛幸, 特許2937907, 特願平08-310352, プラズマ発生装置 (被引用回数: 3 )
  73. 大竹浩人、寒川誠二, 特許3042450 , 特願平09-167523, プラズマ処理方法 (被引用回数: 7 )
  74. 寒川誠二, 拒絶査定, 特願平10-088491, プラズマ処理方法及びその装置 (被引用回数: 6 )
  75. 寒川誠二, 特許2991192, 特願平10-208069, プラズマ処理方法及びプラズマ処理装置 (被引用回数: 1 )
  76. 寒川誠二、津田健一郎, 特許3336975, 特願平10-286824, 基板処理方法 (被引用回数: 6 )
  77. 寒川誠二, 拒絶査定, 特願平11-116268, 基板のプラズマ処理方法 (被引用回数: 1 )
  78. 一木克則、山内和雄、桧山浩国、寒川誠二, 特許3912993, 特願2001-088866, 中性粒子ビーム処理装置 (被引用回数: 13 )
  79. 一木克則、山内和雄、桧山浩国、寒川誠二, 特願2001-088888, 表面処理方法 (被引用回数: 8 )
  80. 一木克則、山内和雄、桧山浩国、寒川誠二, 特願2001-088898, 中性粒子ビーム処理装置 (被引用回数: 5 )
  81. 一木克則、山内和雄、桧山浩国、寒川誠二, 特許4042817, 特願2001-088859, 中性粒子ビーム処理装置 (被引用回数: 5 )
  82. 一木克則、山内和雄、桧山浩国、寒川誠二, 特許4073174, 特願2001-088863, ビーム処理装置 (被引用回数: 2 )
  83. 一木克則、山内和雄、桧山浩国、寒川誠二, 特許4073173, 特願2001-088860, 中性粒子ビーム処理装置 (被引用回数: 4 )
  84. 一木克則、山内和雄、桧山浩国、寒川誠二, 特許4039834 , 特願2001-302538, エッチング方法及びエッチング装置 (被引用回数: 4 )
  85. 一木克則、山内和雄、檜山浩国、寒川誠二, 特許4073204, 特願2001-353809, エッチング方法及びエッチング装置 (被引用回数: 2 )
  86. 寒川誠二、新村忠、沖川満, 特許4175456, 特願2002-086196, オンウエハ・モニタリング・システム (被引用回数: 10 )
  87. 柴田明夫、福田明、檜山浩国、一木克則、山内和雄、寒川誠二, 特願2003-071069, ビーム源及び該ビーム源を備えたビーム処理装置 (被引用回数: 2 )
  88. 柴田明夫、福田明、檜山浩国、一木克則、山内和雄、寒川誠二, 特願2003-071070, ビーム源用電極及びビーム源用電極の製造方法 (被引用回数: 0 )
  89. 福田明、柴田明夫、檜山浩国、一木克則、山内和雄、寒川誠二, 特願2003-071071, ビーム源及びビーム処理装置 (被引用回数: 3 )
  90. 一木克則、柴田明夫、福田明、檜山浩国、山内和雄、寒川誠二, 特願2003-071073, ビーム源及びビーム処理装置 (被引用回数: 5 )
  91. 福田明、柴田明夫、檜山浩国、一木克則、山内和雄、寒川誠二, 特許4101089, 特願2003-071072, ビーム源及びビーム処理装置 (被引用回数: 0 )
  92. 伊崎隆一郎、寒川誠二, 特願2003-083794, 表面処理装置 (被引用回数: 0 )
  93. 福田誠一、寒川誠二, 特許4135541, 特願2003-084568, プラズマ表面処理装置およびプラズマ表面処理方法 (被引用回数: 0 )
  94. 沖川満、寒川誠二, 特願2003-300256, プラズマ処理方法、プラズマエッチング方法、固体撮像素子の製造方法 (被引用回数: 2 )
  95. 白岩利章、辰巳哲也、寒川誠二, 特許4483231, 特願2003-303410, ドライエッチング方法及び磁気メモリ装置の製造方法 (被引用回数: 4 )
  96. 寒川誠二、野沢俊久, 特願2003-325004, 成膜装置および成膜方法 (被引用回数: 1 )
  97. 寒川誠二、山下一郎, 特願2003-383528, 中性塩素原子平行ビームによるナノマスクエッチング (被引用回数: 0 )
  98. 寒川誠二, 特許3868427, 特願2004-046385, プラズマプロセスのリアルタイムモニタ装置 (被引用回数: 6 )
  99. 野田周一、寒川誠二、一木克則, 特許4342984, 特願2004-067400, エッチング方法 (被引用回数: 0 )
  100. 野田周一、寒川誠二、一木克則, 特願2004-128549, エッチング装置 (被引用回数: 0 )
  101. 高木茂行、寒川誠二、新村忠、尾上誠司、西谷和人, 特願2004-143636, プロセス装置の制御システムおよび制御方法 (被引用回数: 1 )
  102. 寒川誠二、西川哲、門村新吾, 特許3957705, 特願2004-159531, プラズマ処理装置およびプラズマ処理方法 (被引用回数: 3 )
  103. 寒川誠二、藤村康浩, 特願2004-256589, プラズマエッチング方法及び装置、並びに得られる物品 (被引用回数: 0 )
  104. 星野恭之、寒川誠二, 特願2005-091867, プラズマ処理方法およびプラズマエッチング方法 (被引用回数: 5 )
  105. 菊谷信悟、寺本元信、須山敏尚、寒川誠二, 特願2005-139805, ドライエッチング装置用電極 (被引用回数: 0 )
  106. 星野恭之、寒川誠二, 特願2006-74633, プラズマエッチング方法 (被引用回数: 2 )
  107. 寒川誠二、吉丸 正樹、平田 雅規、門村 新吾、西谷 明人、橋本 潤、折田 敏幸、小西 衛、 市橋 由成, 特許4195047, 特願2006-165133, プラズマプロセス用フォトン検出センサおよびプラズマ処理装置 (被引用回数: 0 )
  108. 寒川誠二、小田史彦、森本幸裕, 特願2007-225944, 表面処理装置 (被引用回数: 0 )
  109. 橋本潤、河田進二、倉知郁生、寒川誠二, 特願2007-225676, 紫外光モニタリングシステム (被引用回数: 0 )
  110. 辰巳知彦、寒川誠二, 特願2007-225677, プラズマモニタリング方法及びプラズマモニタリングシステム (被引用回数: 3 )
  111. 一木克則、山内和雄、桧山浩国、寒川誠二, 特願2007-326831, 中性粒子ビーム処理装置 (被引用回数: 0 )
  112. 辰巳知彦、寒川誠二, 特願2008-136662, プラズマモニタリングシステム (被引用回数: 0 )
  113. 河田 進二、橋本潤、寒川誠二, 拒絶査定, 特願2008-136663, 紫外光モニタリングシステム (被引用回数: 0 )
  114. 寒川誠二、安原重雄、門村新吾、矢野尚、田島邦敏、松永範昭、吉丸正樹, 特願2008-141565, 中性粒子照射型CVD装置 (被引用回数: 2 )
  115. 寒川誠二、安原重雄、門村新吾、矢野尚、田島邦敏、松永範昭、吉丸正樹, 特許4743229, 特願2008-141566, 中性粒子を用いた半導体装置の成膜方法 (被引用回数: 0 )
  116. 八田谷洋一、河田進二、寒川誠二, 特願2008-215897, コンタクトホール側壁の抵抗値測定方法 (被引用回数: 0 )
  117. 辰巳知彦、寒川誠二, 特願2009-77150, プラズマモニタリング方法 (被引用回数: 0 )
  118. 平出雅哉、安達千波矢、八尋正幸、寒川誠二、久保田智広、 鶴慶彦、中田学、中田安一, 特願2009-80247, ナノドットの作製方法 (被引用回数: 0 )
  119. 額賀理、寒川誠二、久保田智広、植木真治, 特願2009-80081, 測定ユニット、中性粒子ビームの測定装置および中性粒子ビームの測定システム (被引用回数: 0 )
  120. 平出雅哉、安達千波矢、八尋正幸、寒川誠二、久保田智広、 鶴慶彦、中田学、中田安一, 特願2009-80209, エッチング方法 (被引用回数: 0 )
  121. 平出雅哉、安達千波矢、八尋正幸、寒川誠二、久保田智広、中田学、中田安一, 特願2009-231793, 有機半導体薄膜及び有機半導体薄膜の配向制御方法 (被引用回数: 0 )
  122. 寒川誠二、安原重雄、門村新吾、島山努、矢野尚、田島邦敏、松永範昭、吉丸正樹, 特願2009-231912, 低誘電率絶縁膜 (被引用回数: 0 )
  123. 寒川誠二、安原重雄、門村新吾、島山努、矢野尚、田島邦敏、松永範昭、吉丸正樹, 特願2009-242692, 低誘電率絶縁膜の形成方法 (被引用回数: 0 )
  124. 寒川誠二、安田敦、 加藤 圭輔、前田 晋一、百瀬 陽, 拒絶→意見書, 特願2010-056768, レジスト用重合体組成物、レジスト組成物、およびパターンが形成された基板の製造方法 (被引用回数: 0 )
  125. 平出雅哉、安達千波矢、八尋正幸、寒川誠二、久保田智広、中田学、中田安一, 特願2010-208567, 有機半導体薄膜及び有機半導体薄膜の製造方法 (被引用回数: 0 )
  126. 星野 恭之、 寒川誠二, 特願2011-101150, プラズマ処理方法およびプラズマエッチング方法 (被引用回数: 1 )
  127. 甲元芳雄、寒川誠二, 拒絶査定, 特願2011-110312, 電源装置および測定用デバイス (被引用回数: 0 )
  128. 寒川誠二、安原重雄、門村新吾、島山努、矢野尚、田島邦敏、松永範昭、吉丸正樹, 特願2011-004981, 中性粒子照射型CVD装置 (被引用回数: 0 )
  129. 額賀 理、寒川誠二、杉山 正和, 特願2011-552763, 微細構造の形成方法および微細構造を有する基体 (被引用回数: 0 )
  130. 額賀 理、山本 敏、寒川誠二、杉山 正和, 特願2011-552765, 表面微細構造の形成方法および表面微細構造を有する基体 (被引用回数: 0 )
  131. 寒川 誠二, 10-1656762, 10-2012-7026849, プラズマプロセスによる加工形状の予測システム、方法及びプログラム (被引用回数: )
  132. 寒川 誠二, 11756209.0, プラズマプロセスによる加工形状の予測システム、方法及びプログラム (被引用回数: )
  133. 寒川 誠二, 5825492, 2012-505650, プラズマプロセスによる加工形状の予測システム、方法及びプログラム (被引用回数: )
  134. 寒川 誠二, PCT/JP2011/055848, プラズマプロセスによる加工形状の予測システム、方法及びプログラム (被引用回数: )
  135. 寒川 誠二, I496185, 100108657, プラズマプロセスによる加工形状の予想システム、方法及びプログラム (被引用回数: )
  136. 安田敦、加藤圭輔、前田晋一、寒川誠二, 特願2012-059022,日本特許6074834(2017/1/20), レジスト材料、レジスト組成物、パターンが形成された基板の製造方法、およびレジスト材料の評価方法 (被引用回数: 0 )
  137. 寒川誠二、小野 耕平、岩崎 拓也, 特願2012-505650, プラズマプロセスによる加工形状の予測システム、方法及びプログラム (被引用回数: 0 )
  138. 菊地良幸、寒川誠二, 特願2013-038911, カーボン膜形成方法及びカーボン膜 (被引用回数: )
  139. 甲元芳雄、寒川誠二, 拒絶→補正, 特願2013-175670, 電源装置および測定用デバイス (被引用回数: 0 )
  140. 辰巳 知彦、寒川 誠二, 拒絶→意見書, 特願2013-189785, モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム (被引用回数: 0 )
  141. 寒川誠二、谷勛, 特願2014-034334, 遷移金属膜の酸化処理方法および酸化処理装置 (被引用回数: )
  142. 谷勛、寒川誠二、菊地良幸, 特願2014-5194, 日本特許5887366(2016/2/19),遷移金属を含む膜をエッチングする方法 (被引用回数: )
  143. 寒川誠二、石橋清隆、菊地良幸, 特願2014-5782, 日本特許5908001(2016/4/1),基板処理装置 (被引用回数: )
  144. 寒川誠二、菊地良幸, 特願2014-050836, 低誘電率膜 (被引用回数: )
  145. 寒川誠二、岡田 健、山元公寿、今岡亨稔、, 特願2014-105585, 日本特許6321455(2018/4/13), 窒化ガリウムの製造方法 (被引用回数: )
  146. 寒川 誠二, 特願2015-524954, 成膜方法及び熱処理装置 (被引用回数: )
  147. 寒川 誠二, 特願2015-008647, Ⅲ-Ⅳ族半導体のエッチング方法及びエッチング装置 (被引用回数: )
  148. 寒川 誠二, 特願2015-056103, 太陽電池 (被引用回数: )
  149. 寒川 誠二、岡田 健、李 昌勇, 特願2015-058173, 量子ドット構造を有する基板の製造方法 (被引用回数: )
  150. 寒川 誠二, 特願2015-139019, プラズマ処理装置 (被引用回数: )
  151. 寒川 誠二、小野 崇人, 特願2015-196414, 熱電変換材料及びその製造方法 (被引用回数: )
  152. 寒川 誠二, 特願2015-211812, 遷移金属を含む膜をエッチングする方法及び基板処理装置 (被引用回数: )
  153. 寒川 誠二, 出願番号PCT/JP2014/073656, 低誘電率膜 (被引用回数: )
  154. 寒川 誠二, 10-1658304, 出願番号:10-2015-0006723, 基板処理装置 (被引用回数: )
  155. 寒川 誠二, 出願番号:10-2016-7017780, 成膜方法及び熱処理装置 (被引用回数: )
  156. 寒川 誠二, 出願番号:15/111,255, 成膜方法及び熱処理装置 (被引用回数: )
  157. 寒川 誠二, 出願番号:PCT/JP2015/050778, 成膜方法及び熱処理装置 (被引用回数: )
  158. 寒川 誠二, 出願番号:104101368, 成膜方法及び熱処理装置 (被引用回数: )
  159. 寒川 誠二, 出願番号:14/597,929, 基板処理装置 (被引用回数: )
  160. 寒川 誠二, 出願番号:PCT/JP2015/053077, 遷移金属膜の酸化処理方法および酸化処理装置 (被引用回数: )
  161. 寒川 誠二, 出願番号:15/000,458, Ⅲ-Ⅴ族半導体のエッチング方法及びエッチング装置 (被引用回数: )
  162. 寒川 誠二, 出願番号:15/203,982, プラズマ処理装置 (被引用回数: )
  163. 寒川 誠二、菊地良幸, 出願番号:10-2016-0086634,特願2016-0086634, プラズマ処理装置 (被引用回数: )
  164. 寒川 誠二、小野 崇人, 出願番号:16851408.1, 熱電変換材料及びその製造方法 (被引用回数: )
  165. 寒川 誠二、小野 崇人, 出願番号:201680056060.2, 熱電変換材料及びその製造方法 (被引用回数: )
  166. 寒川 誠二、小野 崇人, 特願2017-543238, 熱電変換材料及びその製造方法 (被引用回数: )
  167. 寒川 誠二、小野 崇人, 出願番号:PCT/JP2016/078191, 熱電変換材料及びその製造方法 (被引用回数: )
  168. 寒川 誠二、菊地良幸, 出願番号:15/600,467,特願2017-600,467, 基板処理装置 (被引用回数: )
  169. 寒川 誠二, 特願2017-215872, 窒化物膜の形成方法 (被引用回数: )
  170. 寒川 誠二, 13/635,600,出願番号:PCT/JP2011/055848 ,プラズマプロセスによる加工形状の予測システム、方法及びプログラム (被引用回数: )
  171. 菊地良幸、寒川誠二, 特願2013-096456,日本特許6172660(2017/7/14),成膜装置、低誘電率膜を形成する方法、SiCO膜、及びダマシン配線構造 (被引用回数: )
  172. 寒川誠二, 特願2013-520571,日本特許6240974(2017/11/17), 量子ナノドット、二次元量子ナノドットアレイ及びこれを用いた半導体装置並びに製造方法 (被引用回数: )
  173. 寒川 誠二, 特願2018-164044, 成形型及び成形品の製造方法 (被引用回数: )

 

9-2. 海外特許

  1. Seiji Samukawa, US Patent-5,013,401, Microwave Plasma Etching Method and Apparatus
  2. Seiji Samukawa, US Patent-5,366,586, Plasma Formation using ECR and Method for Processing Substrate by using the Same
  3. Seiji Samukawa, US Patent-5,401,351, RF Plasma Etching Apparatus
  4. Seiji Samukawa, US Patent-5,468,341, Plasma etching method and therfeor
  5. Seiji Samukawa , Kibatsu Shinohara, Hirobumi Matsumoto, Tsutomu Tsukada and Yukito Nakagawa, US Patent-5,565,738, Plasma Formation using Spokewise Antenna
  6. Keizo Kinoshita, Seiji Samukawa, US Patent-5,744,796, Mass spectrometer and radical measuring method
  7. Keizo Kinoshita and Seiji Samukawa, US Patent-5,818,040, Neutral particle beam irradiation apparatus
  8. Seiji Samukawa, US Patent-5,827,435, Plasma processing method and equipment used therefor
  9. Seiji Samukawa, Tsutomu Tsukada, Yukito Nakagawa, Kibatsu Shinohara, and Hiroyuki Ueyama, US Patent-5,900,699, Plasma Generator with a Shield Interposing Antenna
  10. Seiji Samukawa, Tsutomu Tsukada, Yukito Nakagawa, Kibatsu Shinohara, Hirofumi Matsumoto, Hiroyuki Ueyama, US Patent-5,936,352, Plasma processing apparatus for producing plasma at low electron temperatures
  11. Seiji Samukawa, Yukito Nakagawa, Hisaaki Sato, Tsutomu Tsukada, Kibatsu Shinohara and Yasuo Niimura, US Patent-6,043,608, Plasma processing apparatus
  12. Hiroto Ohtake and Seiji Samukawa, US Patent-6,054,063, Method for plasma treatment and apparatus for plasma treatment
  13. Seiji Samukawa and Kenichiro Tsuda, US Patent-6,177,147, Process and apparatus for treating a substrate
  14. Seiji Samukawa, US Patent-6,348,158, Plasma processing with energy supplied
  15. Katsunori Ichiki, Kazuo Yamauchi, Hirokuni Hiyama and Seiji Samukawa, US Patent-6,849,857, Beam processing apparatus
  16. Katsunori Ichiki, Kazuo Yamauchi, Hirokuni Hiyama and Seiji Samukawa, US Patent-6,858,838, Neutral particle beam processing apparatus
  17. Katsunori Ichiki, Kazuo Yamauchi, Hirokuni Hiyama and Seiji Samukawa, US Patent-6,861,642, Neutral particle beam processing apparatus
  18. Katsunori Ichiki, Kazuo Yamauchi, Hirokuni Hiyama and Seiji Samukawa, US Patent-6,861,643, Neutral particle beam processing apparatus
  19. Seiji Samukawa, Katsunori Ichiki, Kazuo Yamauchi and Hirokuni Hiyama, US Patent-6,909,086, Neutral particle beam processing apparatus
  20. Katsunori Ichiki, Kazuo Yamauchi, Hirokuni Hiyama and Seiji Samukawa, US Patent-6,909,087, Method of processing a surface of a workpiece
  21. Seiichi Fukuda and Seiji Samukawa, US Patent-7,000,565, Plasma surface treatment system and plasma surface treatment method
  22. Katsunori Ichiki, Akio Shibata, Akira Fukuda, Hirokuni Hiyama, Kazuo Yamauchi and Seiji Samukawa, US Patent-7,034,285, Beam source and beam processing apparatus
  23. Akira Fukuda, Akio Shibata, Hirokuni Hiyama, Katsunori Ichiki, Kazuo Yamauchi and Seiji Samukawa, US Patent-7,078,862, Beam source and beam processing apparatus
  24. Katsunori Ichiki, Kazuo Yamauchi, Hirokuni Hiyama and Seiji Samukawa, US Patent-7,144,520, Etching method and apparatus
  25. Seiji Samukawa, US Patent-7,184,134, Real-time monitoring apparatus for plasma process
  26. Katsunori Ichiki, Kazuo Yamauchi, Hirokuni Hiyama and Seiji Samukawa, US Patent-7,314,574, Etching method and apparatus
  27. Toshiaki Shiraiwa, Tetsuya Tatsumi and Seiji Samukawa, US Patent-7,473,646, Dry etching method and production method of magnetic memory device
  28. Seiji Samukawa, Tadashi Shinmura, and Mitsuru Okigawa, US Patent-7,520,956, On-wafer monitoring system
  29. Jun Hashimoto, Shinji Kawada, Ikuo Kurachi, and Seiji Samukawa, US Patent-7,732,783, Ultraviolet light monitoring system
  30. Toshiaki Shiraiwa, Tetsuya Tatsumi, and Seiji Samukawa, US Patent-7,808,026, Dry etching method and production method of magnetic memory device
  31. Youichi Yatagai, Shinji Kawada, and Seiji Samukawa, US Patent-7,923,268, Method of measuring resistivity of sidewall of contact hole
  32. Tomohiko Tatsumi and Seiji Samukawa, US Patent-8,427,168, Plasma monitoring method
  33. Seiji Samukawa, Shigeo Yasuhara, Shingo Kadomura, Tsutomu Shimayama, Hisashi Yano, Kunitoshi Tajima, Noriaki Matsunaga, and Masaki Yoshimaru, US Patent-8,828,886, Low dielectric constant insulating film and method for forming the same
  34. Seiji Samukawa, US Patent-9412567, Plasma monitoring method and plasma monitoring system(2016.08.09)
  35. Seiji Samukawa, Korean Patent Registration No.1016567620000,International Application No.PCT/JP2011/055848, SYSTEM, METHOD, AND A MEDIUM IN WHICH PROGRAM IS STORED FOR PREDICTING PROCESSING SHAPE BY PLASMA PROCESSING (2016.09.06)
  36. Seiji Samukawa, Korean Patent Registration No.1016583040000,SUBSTRATE PROCESSING APPARATUS (2016.09.09)
  37. Seiji Samukawa, US Patent-9620338, System, method, and program for predicting processing shape by plasma process (2017.04.11)
  38. Seiji Samukawa、GU XUN, TWI620244B, Method for etching film having transition metal(2018.04.01)

 

(10) 外部組織との関わり

 

10-1. 共同研究

  1. 文部科学省リーディングプロジェクト, 松下電器産業株式会社、東京工業大学・原正彦教授、大阪大学・柳田敏雄教授、奈良先端科学技術大学院大学・冬木教授・浦岡准教授,ナノテクノロジーを活用した新しい原理のデバイス開発
  2. 産業技術総合研究所エレクトロニクス研究部門・鈴木英一副部門長,3次元トランジスタ
  3. 産業技術総合研究所ナノテクロノジー研究部門・山崎聡主幹研究員,プラズマ照射欠陥
  4. 産業技術総合研究所ナノテクロノジー研究部門・石田敬雄主任研究員,有機分子素子
  5. Myongji University (Kore), Prof. Sang Jeen Hong,Advanced Process Control
  6. Universite Joseph Foruier and CNSR (France), Prof. N. Sadeghi,UHF Plasma, Ion Velocity
  7. University of Houston (USA), Prof. Economou and Prof. Donnelly,Neutral Beam
  8. University of Central Florida (USA), Prof. A. Winningham,Bio-nanoprocess
  9. Open University in London (UK), Prof. Mason and Prof. Bowden,Negative ion process
  10. Technische Universiteit Eindhoven (Netherlands), Prof. dr.ir. W.M.M. Kessel,Amorphous silicon damage

 

10-2. 学会役員/各種委員

  1. 応用物理学会代議員 (2002-2006)
  2. 機械学会東北支部商議員 (2003-2005)
  3. 応用物理学会プラズマエレクトロニクス分科会幹事 (1992-1994)
  4. 応用物理学会プラズマエレクトロニクス分科会副幹事長 (2000-2002)
  5. 応用物理学会シリコンテクノロジー分科会幹事 (2002, 2004-)
  6. 応用物理学会シリコンテクノロジー分科会シリコンナノテクノロジー委員会委員長 (2010-)
  7. 応用物理学会・国際マイクロプロセスコンファレンス論文委員 (1993-1997, 2000)
  8. 応用物理学会・国際マイクロプロセスコンファレンス論文委員 セクションヘッド (1996-1997)
  9. 電気学会・ドライプロセスシンポジウム論文委員 (1994-)
  10. 電気学会・ドライプロセスシンポジウム論文委員会副委員長 (1996)
  11. 電気学会・ドライプロセスシンポジウム論文委員会委員長 (1997)
  12. 電気学会・ドライプロセスシンポジウム運営委員会副委員長 (1998)
  13. 電気学会・ドライプロセスシンポジウム運営委員 (1999-)
  14. 電気学会・ドライプロセスシンポジウム実行委員会副委員長 (2007)
  15. 応用物理学会・反応性プラズマ国際会議実行委員 (1994)
  16. 応用物理学会・反応性プラズマ国際会議組織委員 (1997)
  17. 応用物理学会・反応性プラズマ国際会議プログラム委員長 (2006)
  18. インテリジェントナノプロセス研究会実行委員長 (2001-)
  19. 応用物理学会・International Conference on Solid State Devices and Materials実行副委員長 (2008)
  20. 応用物理学会・International Conference on Solid State Devices and Materials実行委員長 (2009)
  21. 米国物理学会GEC, Executive Committee (1995-1997)
  22. American Vacuum Society, Program Committee (1997-2002)
  23. American Vacuum Society, Exective Committee (2005-2007)
  24. 物理学会原子衝突協会・International Symposium on Electron-Molecule Collisions and Swarms, organizing committee (1998-)
  25. EU-Japan Joint Symposium on Plasma Processing (旧International Workshop on Basic Aspect of non-equilibrium Plasma and Interaction with Surface), Organizing committee (1999-)
  26. 応用物理学会学会誌編集委員 (1994-1996)
  27. Japanese Journal of Applied Physics 編集委員 (1997-2005)
  28. Journal of Physics D, Editorial Board (2007-2013)
  29. 電気学会専門調査委員会 “非平衡プラズマのモデリングと微細加工” 幹事 (1997-2002)
  30. 電気学会・電子衝突断面積専門調査委員会・委員 (1995-)
  31. 文部科学省、科学技術政策研究所科学技術動向研究センター専門調査員 (2005-)
  32. (独)日本学術振興会、科学研究費専門委員会・委員 (2006-)
  33. 文部科学省研究振興局、科学技術・学術審議会専門委員 (2006-)
  34. (独)新エネルギー・産業技術総合開発機構、MEMSタスクフォース委員会・委員 (2006-)
  35. (独)新エネルギー・産業技術総合開発機構、半導体ロードマップワーキンググループ・委員 (2006-)
  36. (独)新エネルギー・産業技術総合開発機構、脱フロン分野ロードマップ委員会・委員 (2006-)
  37. (独)新エネルギー・産業技術総合開発機構、基盤技術研究促進事業技術評価委員 (2006-)
  38. (独)新エネルギー・産業技術総合開発機構、採択審査委員会・委員 (2006-)
  39. 日本機械学会・評議員 (2009-)
  40. IEEE International NanoElectronics Conference, Organizing Committee (2011-)
  41. IEEE Nanotechnology Materials and Devices Conference, International Advisory Committee (2013)
  42. 8th International Conference on Reactive Plasmas, International Advisory Committee (2013)
  43. IEEE International NanoElectronics Conference 2014, Chair of Steering Committee (2013-2014)
  44. IEEE International Conference on Nanotechnology 2016, General Chair of Organizing Committee (2014-)
  45. Associate Editor of IEEE Transactions on Nanotechnology (2015-)
  46. Program Committee of IEEE International Electron Device Meeting (2015-)
  47. 第203回研究集会「窒化物半導体パワーデバイスの研究動向」、応用物理学会シリコンナノテクノロジー分科会 (東京、2017/11/16)
  48. 最先端プラズマプロセス: 未来への挑戦 ?産官学連携による新たなイノベーションー (仙台、2018/01/26-27)
  49. Committee, AVS 64th International Symposium & Exhibition ()
  50. Secretary, AVS Plasma science and Technology division ()
  51. Executive committee, IEEE Nanotechnology council ()
  52. 応用物理学会シリコンナノテクノロジー分科会Siナノテクノロジー研究委員会、委員長 ()
  53. Editor in Chief, IEEE Open Journal of Nanotechnology(2020~)